diff --git a/.gitignore b/.gitignore index c985e65..d82961f 100644 --- a/.gitignore +++ b/.gitignore @@ -1 +1,2 @@ research +ice-build/ diff --git a/blocks/DAC/pdm-dac-8-bits.ice b/blocks/DAC/pdm-dac-8-bits.ice index 0ea8396..ec70258 100644 --- a/blocks/DAC/pdm-dac-8-bits.ice +++ b/blocks/DAC/pdm-dac-8-bits.ice @@ -2,14 +2,14 @@ "version": "1.2", "package": { "name": "8-bits-data-PDM-1bit-DAC", - "version": "0.1", + "version": "0.2", "description": "Pulse-density modulated 1-bit DAC. Input: 8-bit samples", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", "otid": 1588403710364 }, "design": { - "board": "alhambra-ii", + "board": "iCEBreaker", "graph": { "blocks": [ { @@ -29,15 +29,7 @@ "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { "x": 136, @@ -78,7 +70,7 @@ }, "position": { "x": 1040, - "y": 256 + "y": 272 } }, { @@ -87,50 +79,8 @@ "data": { "name": "next", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 8 }, "position": { "x": 136, @@ -143,50 +93,8 @@ "data": { "name": "next", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 8 }, "position": { "x": 624, @@ -199,50 +107,8 @@ "data": { "name": "din", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 8 }, "position": { "x": 344, @@ -255,50 +121,8 @@ "data": { "name": "din", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "clock": false, + "size": 8 }, "position": { "x": 0, @@ -311,68 +135,14 @@ "data": { "name": "din", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 8 }, "position": { "x": 144, "y": 408 } }, - { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 896, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", "type": "basic.info", @@ -498,8 +268,20 @@ } }, { - "id": "2927b3e9-fd1b-424e-b6ac-a364155392d2", - "type": "a940efe1f00c714dc9e6cb87e570cabdc4e67620", + "id": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 896, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc56afbf-6c9e-4918-8593-56dae61b9462", + "type": "0def50623faeed7109f748bd0bc8806752be9159", "position": { "x": 288, "y": 272 @@ -528,7 +310,7 @@ "port": "outlabel" }, "target": { - "block": "2927b3e9-fd1b-424e-b6ac-a364155392d2", + "block": "fc56afbf-6c9e-4918-8593-56dae61b9462", "port": "096f61b6-6d5c-4907-9512-e65b25969458" } }, @@ -538,7 +320,7 @@ "port": "outlabel" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", + "block": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, @@ -584,7 +366,7 @@ "port": "outlabel" }, "target": { - "block": "2927b3e9-fd1b-424e-b6ac-a364155392d2", + "block": "fc56afbf-6c9e-4918-8593-56dae61b9462", "port": "efa5aff9-6233-40da-ab03-9aa804a38156", "size": 8 }, @@ -592,7 +374,7 @@ }, { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", + "block": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { @@ -607,13 +389,13 @@ "port": "eb43abda-e818-4a4a-a2dd-890d780ac19a" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", + "block": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "2927b3e9-fd1b-424e-b6ac-a364155392d2", + "block": "fc56afbf-6c9e-4918-8593-56dae61b9462", "port": "b2345de6-0f12-4398-b563-99fa8453efa1" }, "target": { @@ -626,302 +408,409 @@ } }, "dependencies": { - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { + "36136f5402eda12dae09f970a125a3f06c4bff93": { "package": { - "name": "Biestable-D", + "name": "sum-2p-8bits-carry", "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "description": "Sumador de 8 bits, con acarreo", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "c" }, "position": { - "x": 192, - "y": 136 + "x": 816, + "y": 80 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 680, - "y": 184 + "x": 200, + "y": 176 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "499982c7-82a1-4242-bd6b-8c451083ca95", + "type": "basic.output", "data": { "name": "", - "clock": false + "range": "[7:0]", + "size": 8 }, "position": { - "x": 192, - "y": 232 + "x": 832, + "y": 264 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "113cd79e-1b76-4860-b465-34306703725a", + "type": "basic.input", "data": { "name": "", - "value": "0", - "local": false + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 456, - "y": 64 + "x": 200, + "y": 264 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "wire [8:0] temp;\nassign temp = {1'b0, a} + {1'b0, b};\nassign s = temp[7:0];\nassign c = temp[8];\n//--test", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "a", + "range": "[7:0]", + "size": 8 }, { - "name": "d" + "name": "b", + "range": "[7:0]", + "size": 8 } ], "out": [ { - "name": "q" + "name": "c" + }, + { + "name": "s", + "range": "[7:0]", + "size": 8 } ] } }, "position": { "x": 384, - "y": 168 + "y": 192 }, "size": { - "width": 232, - "height": 88 + "width": 336, + "height": 136 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", - "port": "out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "c" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "block": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "port": "in" } }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "113cd79e-1b76-4860-b465-34306703725a", + "port": "out" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 8 }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } + "block": "499982c7-82a1-4242-bd6b-8c451083ca95", + "port": "in" + }, + "size": 8 }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "block": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 8 } ] } } }, - "36136f5402eda12dae09f970a125a3f06c4bff93": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "sum-2p-8bits-carry", - "version": "0.1", - "description": "Sumador de 8 bits, con acarreo", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", "type": "basic.output", "data": { - "name": "c" + "name": "nc" }, "position": { "x": 816, - "y": 80 + "y": 112 } }, { - "id": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", "type": "basic.input", "data": { "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 + "clock": true }, "position": { - "x": 200, - "y": 176 + "x": 208, + "y": 184 } }, { - "id": "499982c7-82a1-4242-bd6b-8c451083ca95", + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", "type": "basic.output", "data": { - "name": "", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 832, - "y": 264 + "x": 816, + "y": 232 } }, { - "id": "113cd79e-1b76-4860-b465-34306703725a", + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", "type": "basic.input", "data": { "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 + "clock": false }, "position": { - "x": 200, - "y": 264 + "x": 208, + "y": 280 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", "type": "basic.code", "data": { - "code": "wire [8:0] temp;\nassign temp = {1'b0, a} + {1'b0, b};\nassign s = temp[7:0];\nassign c = temp[8];\n//--test", - "params": [], + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ + { + "name": "INI" + } + ], "ports": { "in": [ { - "name": "a", - "range": "[7:0]", - "size": 8 + "name": "clk" }, { - "name": "b", - "range": "[7:0]", - "size": 8 + "name": "d" } ], "out": [ { - "name": "c" - }, - { - "name": "s", - "range": "[7:0]", - "size": 8 + "name": "q" } ] } }, "position": { "x": 384, - "y": 192 + "y": 168 }, "size": { - "width": 336, - "height": 136 + "width": 352, + "height": 192 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 } } ], "wires": [ { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "c" + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" }, "target": { - "block": "eb43abda-e818-4a4a-a2dd-890d780ac19a", - "port": "in" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" } }, { "source": { - "block": "113cd79e-1b76-4860-b465-34306703725a", - "port": "out" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 8 + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" }, "target": { - "block": "499982c7-82a1-4242-bd6b-8c451083ca95", - "port": "in" - }, - "size": 8 + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } }, { "source": { - "block": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 8 + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } } ] } } }, - "a940efe1f00c714dc9e6cb87e570cabdc4e67620": { + "0def50623faeed7109f748bd0bc8806752be9159": { "package": { "name": "Registro-sistema", - "version": "0.1", + "version": "0.2", "description": "Registro del sistema de 8 bits", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22459.428%22%20height=%22216.83%22%20viewBox=%220%200%20121.5569%2057.369686%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M66.126%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M58.741%2032.088L49.51%2012.475l-6.45%203.724-2.07-3.583L61.895.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L57.636%2045.02s-2.848-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M105.813%2040.152l13.607%2016.672-6.917-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M98.429%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L101.582.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L97.323%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" @@ -985,7 +874,7 @@ "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", "type": "basic.code", "data": { - "code": "localparam N = 8;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", + "code": "localparam N = 8;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", "params": [ { "name": "INI" diff --git a/blocks/DAC/pdm-dac.ice b/blocks/DAC/pdm-dac.ice index 837f511..5fb98a6 100644 --- a/blocks/DAC/pdm-dac.ice +++ b/blocks/DAC/pdm-dac.ice @@ -2,7 +2,7 @@ "version": "1.2", "package": { "name": "PDM-1bit-DAC", - "version": "0.1", + "version": "0.3", "description": "Pulse-density modulated 1-bit DAC", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", @@ -13,485 +13,144 @@ "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "id": "ef451927-f90b-424b-ad34-6ac114143513", "type": "basic.input", "data": { "name": "", - "clock": true, - "virtual": true + "clock": true }, "position": { - "x": -8, - "y": 64 + "x": 56, + "y": 1072 } }, { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "id": "368745ec-a341-4b73-a8c7-44fdef0d201d", "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { - "x": 136, - "y": 64 + "x": 200, + "y": 1072 } }, { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", + "id": "a3f5b136-3870-4db7-9fb0-ddb608653902", "type": "basic.outputLabel", "data": { "blockColor": "yellow", "name": "clk", - "oldBlockColor": "yellow", - "virtual": true + "oldBlockColor": "yellow" }, "position": { - "x": 912, - "y": 168 + "x": 976, + "y": 1176 } }, { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", + "id": "309765f3-0e44-49b6-98a7-c4490b748153", "type": "basic.outputLabel", "data": { "blockColor": "yellow", "name": "clk", - "oldBlockColor": "yellow", - "virtual": true + "oldBlockColor": "yellow" }, "position": { - "x": 128, - "y": 208 + "x": 192, + "y": 1216 } }, { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", + "id": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", "type": "basic.output", "data": { - "name": "out", - "pins": [ - { - "index": "0", - "name": "D0", - "value": "2" - } - ], - "virtual": false + "name": "out" }, "position": { - "x": 1192, - "y": 256 + "x": 1256, + "y": 1280 } }, { - "id": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", + "id": "626fe170-4ece-4558-9a88-104a4ad5e559", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", "name": "data_next", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "size": 12 }, "position": { - "x": 136, - "y": 320 + "x": 200, + "y": 1328 } }, { - "id": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "id": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", "type": "basic.outputLabel", "data": { "blockColor": "navy", "name": "din", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "navy" + "oldBlockColor": "navy", + "size": 12 }, "position": { - "x": 344, - "y": 368 + "x": 408, + "y": 1376 } }, { - "id": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", + "id": "d8912692-c881-4f65-afd4-ef323a15a78d", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", "name": "data_next", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "size": 12 }, "position": { - "x": 824, - "y": 384 + "x": 888, + "y": 1392 } }, { - "id": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", + "id": "56c80258-8515-47c4-98b9-af247b8fa77b", "type": "basic.input", "data": { "name": "din", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "clock": false + "clock": false, + "size": 12 }, "position": { - "x": 0, - "y": 520 + "x": 64, + "y": 1528 } }, { - "id": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", + "id": "c41a8459-1b3e-4bb5-b825-76aef094597b", "type": "basic.inputLabel", "data": { "name": "din", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, "oldBlockColor": "navy", - "blockColor": "navy" + "blockColor": "navy", + "size": 12 }, "position": { - "x": 160, - "y": 520 - } - }, - { - "id": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "type": "322ac6e3834f854f1ff8aaea07062751a7577cbf", - "position": { - "x": 288, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 + "x": 224, + "y": 1528 } }, { - "id": "9a7470fb-3e34-4562-a3e5-82e1d688d764", + "id": "66506529-500d-4c4d-ba99-a40ed72c4c5f", "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", "position": { - "x": 488, - "y": 288 + "x": 552, + "y": 1296 }, "size": { "width": 96, @@ -499,11 +158,11 @@ } }, { - "id": "3df0f00d-6a05-4c59-8816-95fa38cff159", + "id": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", "type": "117c154c9710e7538dc4149a2241691940cc4a09", "position": { - "x": 688, - "y": 288 + "x": 752, + "y": 1296 }, "size": { "width": 96, @@ -511,27 +170,15 @@ } }, { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 1048, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", + "id": "3f812810-f7f6-44f4-b61d-0771051d1786", "type": "basic.info", "data": { "info": "Accumulator", "readonly": true }, "position": { - "x": 304, - "y": 216 + "x": 368, + "y": 1224 }, "size": { "width": 120, @@ -539,15 +186,15 @@ } }, { - "id": "12428847-e480-477f-be65-13b493b6c88c", + "id": "28dbb1a3-bb5f-451d-8c33-a1384957e4fb", "type": "basic.info", "data": { "info": "Add the incoming sample \nto the accumulator", "readonly": true }, "position": { - "x": 464, - "y": 216 + "x": 528, + "y": 1224 }, "size": { "width": 200, @@ -555,15 +202,15 @@ } }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", + "id": "8136d2e2-29e8-49a3-90c9-eab2965af74e", "type": "basic.info", "data": { "info": "Incoming sample", "readonly": true }, "position": { - "x": 48, - "y": 488 + "x": 112, + "y": 1496 }, "size": { "width": 152, @@ -571,15 +218,15 @@ } }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", + "id": "1276c60c-b629-443b-9d74-635583ec44f0", "type": "basic.info", "data": { "info": "Accumulator overflow \ncarry set (MSB)", "readonly": true }, "position": { - "x": 696, - "y": 216 + "x": 760, + "y": 1224 }, "size": { "width": 184, @@ -587,15 +234,15 @@ } }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", + "id": "344e3fb1-c7dd-4ec8-92f4-c930614ff803", "type": "basic.info", "data": { "info": "Pulse-density modulated \noutput signal", "readonly": true }, "position": { - "x": 1184, - "y": 208 + "x": 1248, + "y": 1216 }, "size": { "width": 200, @@ -603,15 +250,15 @@ } }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", + "id": "ad75e91f-5736-4b0a-9305-0228207b976a", "type": "basic.info", "data": { "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", "readonly": true }, "position": { - "x": 320, - "y": -256 + "x": 384, + "y": 752 }, "size": { "width": 760, @@ -619,303 +266,182 @@ } }, { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", + "id": "84f30124-bb0d-465a-91f3-789d855aaba3", "type": "basic.info", "data": { "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", "readonly": true }, "position": { - "x": 1272, - "y": -248 + "x": 1336, + "y": 760 }, "size": { "width": 496, "height": 184 } + }, + { + "id": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1112, + "y": 1264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "type": "4a3e5082790168ab13226b6a032ed6e45e656420", + "position": { + "x": 352, + "y": 1280 + }, + "size": { + "width": 96, + "height": 64 + } } ], "wires": [ { "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "block": "ef451927-f90b-424b-ad34-6ac114143513", "port": "out" }, "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "block": "368745ec-a341-4b73-a8c7-44fdef0d201d", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" - }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "port": "outlabel" - }, - "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", + "block": "56c80258-8515-47c4-98b9-af247b8fa77b", "port": "out", "size": 12 }, "target": { - "block": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", + "block": "c41a8459-1b3e-4bb5-b825-76aef094597b", "port": "inlabel" }, + "vertices": [], "size": 12 }, { "source": { - "block": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "block": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", "port": "outlabel" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", "size": 12 }, + "vertices": [], "size": 12 }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", "port": "d3293383-456c-471c-974d-342cc97e652b", "size": 12 }, "target": { - "block": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", + "block": "d8912692-c881-4f65-afd4-ef323a15a78d", "port": "inlabel" }, - "size": 12 - }, - { - "source": { - "block": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "port": "outlabel" - }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "size": 12 - }, - "size": 12 - }, - { - "source": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" - }, - "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" - }, "vertices": [], "size": 12 }, { "source": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + "block": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "port": "outlabel" }, "target": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" }, - "vertices": [], - "size": 13 + "vertices": [] }, { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "309765f3-0e44-49b6-98a7-c4490b748153", + "port": "outlabel" }, "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" }, "vertices": [] }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "626fe170-4ece-4558-9a88-104a4ad5e559", + "port": "outlabel" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "size": 12 }, - "vertices": [] - } - ] - } - }, - "dependencies": { - "322ac6e3834f854f1ff8aaea07062751a7577cbf": { - "package": { - "name": "Registro-12bits-system", - "version": "0.1", - "description": "Registro de 12 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 120, - "y": 192 - } - }, - { - "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", - "type": "basic.output", - "data": { - "name": "", - "range": "[11:0]", - "size": 12 - }, - "position": { - "x": 632, - "y": 248 - } - }, - { - "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "type": "basic.input", - "data": { - "name": "", - "range": "[11:0]", - "clock": false, - "size": 12 - }, - "position": { - "x": 120, - "y": 296 - } - }, - { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 376, - "y": 56 - } - }, - { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 12;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[11:0]", - "size": 12 - } - ], - "out": [ - { - "name": "q", - "range": "[11:0]", - "size": 12 - } - ] - } - }, - "position": { - "x": 280, - "y": 176 - }, - "size": { - "width": 288, - "height": 200 - } - } - ], - "wires": [ - { - "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" - }, - "target": { - "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", - "port": "in" - }, - "size": 12 - }, - { - "source": { - "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 12 - } - ] + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + }, + "target": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + }, + "vertices": [], + "size": 13 + }, + { + "source": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + }, + "target": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + }, + "vertices": [], + "size": 12 } - } - }, + ] + } + }, + "dependencies": { "29c9a45c6996a3804d02693a6f8a8daf6a157256": { "package": { "name": "sum-2op-12bits", @@ -1494,17 +1020,28 @@ } } }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, { "id": "3943e194-090b-4553-9df3-88bc4b17abc2", "type": "basic.input", @@ -1513,8 +1050,8 @@ "clock": true }, "position": { - "x": 192, - "y": 136 + "x": 208, + "y": 184 } }, { @@ -1524,8 +1061,8 @@ "name": "" }, "position": { - "x": 680, - "y": 184 + "x": 816, + "y": 232 } }, { @@ -1536,8 +1073,8 @@ "clock": false }, "position": { - "x": 192, - "y": 232 + "x": 208, + "y": 280 } }, { @@ -1549,7 +1086,7 @@ "local": false }, "position": { - "x": 456, + "x": 512, "y": 64 } }, @@ -1557,7 +1094,7 @@ "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", "type": "basic.code", "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", "params": [ { "name": "INI" @@ -1584,8 +1121,104 @@ "y": 168 }, "size": { - "width": 232, - "height": 88 + "width": 352, + "height": 192 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 } } ], @@ -1633,6 +1266,157 @@ ] } } + }, + "4a3e5082790168ab13226b6a032ed6e45e656420": { + "package": { + "name": "Registro-12bits-system", + "version": "0.2", + "description": "Registro de 12 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 120, + "y": 192 + } + }, + { + "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 120, + "y": 296 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 12;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "q", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 12 + } + ] + } + } } } } \ No newline at end of file diff --git a/blocks/Generators/tone-noise.ice b/blocks/Generators/tone-noise.ice index 64c358e..6eefc0c 100644 --- a/blocks/Generators/tone-noise.ice +++ b/blocks/Generators/tone-noise.ice @@ -2,14 +2,14 @@ "version": "1.2", "package": { "name": "generator-tone-noise", - "version": "0.1", + "version": "0.2", "description": "tone-noise generator", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cstyle%20id=%22style3052%22%3E*%7Bstroke-linecap:square;stroke-linejoin:round%7D%3C/style%3E%3Cpath%20d=%22M0%200v-4%22%20id=%22mcb557df647%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200v4%22%20id=%22mdad270ee8e%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M31.781%2066.406q-7.61%200-11.453-7.5Q16.5%2051.422%2016.5%2036.375q0-14.984%203.828-22.484%203.844-7.5%2011.453-7.5%207.672%200%2011.5%207.5%203.844%207.5%203.844%2022.484%200%2015.047-3.844%2022.531-3.828%207.5-11.5%207.5m0%207.813q12.266%200%2018.735-9.703%206.468-9.688%206.468-28.141%200-18.406-6.468-28.11-6.47-9.687-18.735-9.687-12.25%200-18.718%209.688-6.47%209.703-6.47%2028.109%200%2018.453%206.47%2028.14Q19.53%2074.22%2031.78%2074.22%22%20id=%22BitstreamVeraSans-Roman-30%22/%3E%3Cpath%20d=%22M19.188%208.297h34.421V0H7.33v8.297q5.609%205.812%2015.296%2015.594%209.703%209.797%2012.188%2012.64%204.734%205.313%206.609%209%201.89%203.688%201.89%207.25%200%205.813-4.078%209.469-4.078%203.672-10.625%203.672-4.64%200-9.797-1.61-5.14-1.609-11-4.89v9.969Q13.767%2071.78%2018.938%2073q5.188%201.219%209.485%201.219%2011.328%200%2018.062-5.672%206.735-5.656%206.735-15.125%200-4.5-1.688-8.531-1.672-4.016-6.125-9.485-1.218-1.422-7.765-8.187-6.532-6.766-18.453-18.922%22%20id=%22BitstreamVeraSans-Roman-32%22/%3E%3Cpath%20d=%22M37.797%2064.313L12.89%2025.39h24.906zm-2.594%208.593H47.61V25.391h10.407v-8.203H47.609V0h-9.812v17.188H4.89v9.515z%22%20id=%22BitstreamVeraSans-Roman-34%22/%3E%3Cpath%20d=%22M33.016%2040.375q-6.641%200-10.532-4.547-3.875-4.531-3.875-12.437%200-7.86%203.875-12.438%203.891-4.562%2010.532-4.562%206.64%200%2010.515%204.562%203.875%204.578%203.875%2012.438%200%207.906-3.875%2012.437-3.875%204.547-10.515%204.547m19.578%2030.922v-8.984q-3.719%201.75-7.5%202.671-3.782.938-7.5.938-9.766%200-14.922-6.594-5.14-6.594-5.875-19.922%202.875%204.25%207.219%206.516%204.359%202.266%209.578%202.266%2010.984%200%2017.36-6.672%206.374-6.657%206.374-18.125%200-11.235-6.64-18.032-6.641-6.78-17.672-6.78-12.657%200-19.344%209.687-6.688%209.703-6.688%2028.109%200%2017.281%208.204%2027.563%208.203%2010.28%2022.015%2010.28%203.719%200%207.5-.734t7.89-2.187%22%20id=%22BitstreamVeraSans-Roman-36%22/%3E%3Cpath%20d=%22M31.781%2034.625q-7.031%200-11.062-3.766-4.016-3.765-4.016-10.343%200-6.594%204.016-10.36Q24.75%206.391%2031.78%206.391q7.032%200%2011.078%203.78%204.063%203.798%204.063%2010.345%200%206.578-4.031%2010.343-4.016%203.766-11.11%203.766m-9.86%204.188q-6.343%201.562-9.89%205.906Q8.5%2049.079%208.5%2055.329q0%208.733%206.219%2013.812%206.234%205.078%2017.062%205.078%2010.89%200%2017.094-5.078%206.203-5.079%206.203-13.813%200-6.25-3.547-10.61-3.531-4.343-9.828-5.906%207.125-1.656%2011.094-6.5%203.984-4.828%203.984-11.796%200-10.61-6.468-16.282-6.47-5.656-18.532-5.656-12.047%200-18.531%205.656-6.469%205.672-6.469%2016.282%200%206.968%204%2011.797%204.016%204.843%2011.14%206.5M18.314%2054.39q0-5.657%203.53-8.828%203.548-3.172%209.938-3.172%206.36%200%209.938%203.172%203.593%203.171%203.593%208.828%200%205.672-3.593%208.843-3.578%203.172-9.938%203.172-6.39%200-9.937-3.172-3.532-3.172-3.532-8.843%22%20id=%22BitstreamVeraSans-Roman-38%22/%3E%3Cpath%20d=%22M12.406%208.297h16.11v55.625l-17.532-3.516v8.985l17.438%203.515h9.86V8.296H54.39V0H12.406z%22%20id=%22BitstreamVeraSans-Roman-31%22/%3E%3Cpath%20d=%22M0%200h4%22%20id=%22mc8fcea1516%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200h-4%22%20id=%22m0d5b0a6425%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3CclipPath%20id=%22p7ff5b81e1d%22%3E%3Cpath%20id=%22rect3245%22%20d=%22M72%2043.2h446.4v345.6H72z%22/%3E%3C/clipPath%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ENoise%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.33333%200%200%201.33333%20345.782%20-115.517)%22%20id=%22patch_2%22%3E%3Cpath%20id=%22path3059%22%20d=%22M72%20388.8h446.4V43.2H72z%22%20fill=%22#fff%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.24609%200%200%20.98154%2026.604%20-77.931)%22%20id=%22line2d_1%22%20stroke-width=%22.452%22%3E%3Cpath%20transform=%22matrix(2.40534%200%200%20.3994%20-582.624%2044.725)%22%20id=%22path3062%22%20d=%22M238.061%20221.411l.446-25.199.447%2016.98.446-38.405.446-70.353.447%2060.659.446-51.632.447%20125.76.446-9.78.446%2045.342.893-81.556.447%2042.637.446%2066.129.446-105.65.447%2078.633.446-84.467.447%2012.022.446%2035.765.446-46.881.447%2058.801.446%2026.687.447-22.757.446-34.389.446-46.182.447%2067.387.446-39.893.447%2025.369.446%2047.468.446-43.913.447-25.598.446%2031.379.447-60.838.446%2099.308.446-110.83.893%2061.01.447%207.216.446%2030.342.446-57.516.447-37.35.446%20100.807.447-3.275.446-25.79.446-40.216.447%203.726.446-16.955.447-27.499.446%2051.416.446-62.516.447%2090.441.446-43.834.447%2066.712.446-118.862.446%2030.9.447%2013.315.446%207.64.447%2074.164.446%2022.814.893-56.159.446-44.743.447-7.329.446%2045.909.446%2014.122.447%203.403.446%207.966.447-89.663.446%2091.88.446-103.879.447%20139.778.446-29.272.447%2019.93.446-98.4.446%203.982.893%20108.281.447-29.99.446-145.837.446%2043.054.447%2019.359.446%2066.886.447-2.853.446-11.105.446-77.793.447%2033.052.446-10.708.893%2084.872.446%205.914.447-29.148.446-47.477.447%2066.745.446%207.899.446-63.47.447%2012.928.446-67.294.447-1.957.446%20159.016.446-172.724.447%2071.177.446-29.401.447%2062.547.446%2010.469.446%2020.344.447-10.05.446-56.45.447%2010.337.446%2030.162.446-45.847.447-66.028.446-1.779.447%2083.282.446-123.191.446%20115.479.447-84.859.446-17.071.447%20165.079.446-64.183.446%2048.302.447-73.319.446%2034.263.447-88.671.446%2049.2.893%2045.432.446%2056.226.447-70.466.446-46.454.446%20125.494.447-74.601.446-9.101.447%2058.65.446-50.294.446-13.647.447-19.783.446%2012.191%22%20clip-path=%22url(#p7ff5b81e1d)%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.461%22/%3E%3C/g%3E%3C/svg%3E", "otid": 1588504190451 }, "design": { - "board": "alhambra-ii", + "board": "iCEBreaker", "graph": { "blocks": [ { @@ -29,15 +29,7 @@ "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { "x": 808, @@ -50,14 +42,6 @@ "data": { "blockColor": "fuchsia", "name": "r20", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -71,125 +55,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 1672, @@ -202,14 +69,6 @@ "data": { "blockColor": "fuchsia", "name": "r11", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -223,125 +82,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 2160, @@ -353,15 +95,7 @@ "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "r22", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "r22" }, "position": { "x": 2704, @@ -374,14 +108,6 @@ "data": { "blockColor": "fuchsia", "name": "r20", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -407,15 +133,7 @@ "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "r22", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "r22" }, "position": { "x": 1536, @@ -428,14 +146,6 @@ "data": { "blockColor": "fuchsia", "name": "r16", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -448,15 +158,7 @@ "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "r22", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "name": "r22" }, "position": { "x": 1040, @@ -469,14 +171,6 @@ "data": { "blockColor": "fuchsia", "name": "r13", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -490,14 +184,6 @@ "data": { "blockColor": "fuchsia", "name": "r7", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -511,125 +197,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 2152, @@ -642,14 +211,6 @@ "data": { "blockColor": "fuchsia", "name": "r17", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -663,125 +224,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 1672, @@ -794,125 +238,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 1536, @@ -925,14 +252,6 @@ "data": { "blockColor": "fuchsia", "name": "r17", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -959,14 +278,6 @@ "data": { "blockColor": "fuchsia", "name": "r11", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -980,14 +291,6 @@ "data": { "blockColor": "fuchsia", "name": "r7", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1014,14 +317,6 @@ "data": { "blockColor": "fuchsia", "name": "r4", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1035,14 +330,6 @@ "data": { "blockColor": "fuchsia", "name": "r16", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1056,125 +343,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 1672, @@ -1187,14 +357,6 @@ "data": { "blockColor": "fuchsia", "name": "r4", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1208,125 +370,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 2152, @@ -1339,14 +384,6 @@ "data": { "blockColor": "fuchsia", "name": "r2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1374,14 +411,6 @@ "data": { "blockColor": "fuchsia", "name": "r13", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1395,125 +424,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 1680, @@ -1526,14 +438,6 @@ "data": { "blockColor": "fuchsia", "name": "r2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, "oldBlockColor": "fuchsia" }, "position": { @@ -1547,125 +451,8 @@ "data": { "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 23 }, "position": { "x": 2152, @@ -1802,18 +589,6 @@ "y": 640 } }, - { - "id": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 1064, - "y": 1208 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", "type": "832c8ef4212d370059da69837d54be8864cbca2b", @@ -1826,18 +601,6 @@ "height": 64 } }, - { - "id": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "type": "c117b63724ee3d4a37266b1fb1c97f32b0f91305", - "position": { - "x": 1360, - "y": 904 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "c3df28c2-037d-415d-86f6-1addcca9705d", "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", @@ -1981,24 +744,37 @@ "width": 96, "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d0ac1354-2a1a-41ef-b3f0-870814de248a", - "port": "inlabel" + "id": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1064, + "y": 1208 }, - "vertices": [] + "size": { + "width": 96, + "height": 64 + } }, + { + "id": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "type": "7a11921b0d0ebdd41ebb33ea6eb263c988ac39da", + "position": { + "x": 1360, + "y": 904 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ { "source": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "367ee5f5-83e8-466f-8698-28455552ff71", + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "size": 23 }, "target": { @@ -2342,8 +1118,8 @@ "port": "outlabel" }, "target": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "4196184b-4a60-493b-bcc6-c95958483683" + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "2708468d-1088-4570-be63-fb0d4799a941" } }, { @@ -2352,8 +1128,18 @@ "port": "outlabel" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "07894df1-fc7e-49b9-bb99-fa49ed83929e" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c" + }, + "target": { + "block": "d0ac1354-2a1a-41ef-b3f0-870814de248a", + "port": "inlabel" } }, { @@ -2362,8 +1148,8 @@ "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, "vertices": [] }, @@ -2380,12 +1166,12 @@ }, { "source": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "d87dc0ca-b86d-4e51-a133-d49b2d371b94" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e" }, "vertices": [] }, @@ -2395,8 +1181,8 @@ "port": "constant-out" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "f21edaa1-e1b0-4659-885e-706cbc97921b" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" }, "vertices": [] }, @@ -2411,17 +1197,6 @@ }, "vertices": [] }, - { - "source": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "0260d9ea-0c59-4741-a37f-318b83113261" - }, - "vertices": [] - }, { "source": { "block": "01418e00-852b-43a9-948d-713721b5f4e7", @@ -2544,124 +1319,21 @@ "port": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70" }, "size": 24 + }, + { + "source": { + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "adb65ce3-8256-4acb-ad39-154262efb1ad" + } } ] } }, "dependencies": { - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { - "package": { - "name": "Subida", - "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4196184b-4a60-493b-bcc6-c95958483683", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 152, - "y": 176 - } - }, - { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 624, - "y": 200 - } - }, - { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 152, - "y": 232 - } - }, - { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "type": "basic.code", - "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", - "params": [], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 336, - "y": 176 - }, - "size": { - "width": 232, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" - } - }, - { - "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" - }, - "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "port": "in" - } - }, - { - "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" - } - } - ] - } - } - }, "832c8ef4212d370059da69837d54be8864cbca2b": { "package": { "name": "Extract-1-bit", @@ -2905,91 +1577,31 @@ } } }, - "c117b63724ee3d4a37266b1fb1c97f32b0f91305": { + "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6": { "package": { - "name": "23-bits-shift-register-left", + "name": "Extract-1-bit", "version": "0.1", - "description": "23 bits shift register (left)", + "description": "Extract 1 bit from a 23-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22347.269%22%20viewBox=%220%200%20137.38127%2091.881653%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cpath%20d=%22M41.506%2057.974l13.608%2016.672-6.918-20.535%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2049.91L24.89%2030.296l-6.45%203.724-2.07-3.584%2020.905-12.069%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2062.842s-2.849-3.696-2.16-6.796c.687-3.1%203.265-6.136%203.265-6.136z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-4.843)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2057.974l13.607%2016.671-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2049.91l-9.232-19.613-6.451%203.724-2.069-3.584%2020.905-12.069%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2062.842s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2057.974l13.608%2016.671-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2049.91l-9.232-19.613-6.45%203.724-2.07-3.584%2020.905-12.069%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772l-23.689%2013.638s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cpath%20d=%22M197.304%20102.342H88.824%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22%20transform=%22translate(-69.649%20-95.494)%22/%3E%3C/svg%3E", - "otid": 1588522734419 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "07894df1-fc7e-49b9-bb99-fa49ed83929e", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 136, - "y": 32 - } - }, - { - "id": "5c917f6a-e3df-4199-a704-534f1f97bab8", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 32 - } - }, - { - "id": "ed71c26c-5a49-43ba-933c-a3db4ed1b90f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 200, - "y": 184 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "id": "352fe87a-e310-4225-9c82-86adf05aade8", "type": "basic.output", "data": { - "name": "MSB", - "virtual": false + "name": "" }, "position": { - "x": 800, - "y": 200 + "x": 968, + "y": 296 } }, { - "id": "0260d9ea-0c59-4741-a37f-318b83113261", + "id": "fbb7417a-5326-4b54-a511-d44d30625d6d", "type": "basic.input", - "data": { - "name": "lsb", - "clock": false, - "virtual": true - }, - "position": { - "x": 200, - "y": 280 - } - }, - { - "id": "367ee5f5-83e8-466f-8698-28455552ff71", - "type": "basic.output", "data": { "name": "", "range": "[22:0]", @@ -3110,28 +1722,16 @@ "value": "" } ], - "virtual": false - }, - "position": { - "x": 808, - "y": 320 - } - }, - { - "id": "d87dc0ca-b86d-4e51-a133-d49b2d371b94", - "type": "basic.input", - "data": { - "name": "shift", - "clock": false, - "virtual": true + "virtual": false, + "clock": false }, "position": { - "x": 200, - "y": 336 + "x": 512, + "y": 296 } }, { - "id": "f21edaa1-e1b0-4659-885e-706cbc97921b", + "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", "type": "basic.constant", "data": { "name": "", @@ -3139,370 +1739,83 @@ "local": false }, "position": { - "x": 488, - "y": 112 + "x": 744, + "y": 184 } }, { - "id": "e04b9910-f015-41c6-9c66-1bd014dd0e01", + "id": "15921a47-9c6e-411a-95a4-31330b104b72", "type": "basic.code", "data": { - "code": "reg [22:0] q = INI;\n\nalways @(posedge clk) begin\n if (shift) begin\n q <= {q[21:0], lsb};\n end\nend\n\nassign MSB = q[22];", + "code": "assign o = i[BIT];", "params": [ { - "name": "INI" + "name": "BIT" } ], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "lsb" - }, - { - "name": "shift" + "name": "i", + "range": "[22:0]", + "size": 23 } ], "out": [ { - "name": "MSB" - }, - { - "name": "q", - "range": "[22:0]", - "size": 23 + "name": "o" } ] } }, "position": { - "x": 368, - "y": 216 + "x": 672, + "y": 296 }, "size": { - "width": 336, - "height": 184 + "width": 232, + "height": 64 } } ], "wires": [ { "source": { - "block": "07894df1-fc7e-49b9-bb99-fa49ed83929e", - "port": "out" + "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "port": "constant-out" }, "target": { - "block": "5c917f6a-e3df-4199-a704-534f1f97bab8", - "port": "inlabel" + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "BIT" }, "vertices": [] }, { "source": { - "block": "ed71c26c-5a49-43ba-933c-a3db4ed1b90f", - "port": "outlabel" - }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "clk" - } - }, - { - "source": { - "block": "f21edaa1-e1b0-4659-885e-706cbc97921b", - "port": "constant-out" - }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "INI" - } - }, - { - "source": { - "block": "0260d9ea-0c59-4741-a37f-318b83113261", - "port": "out" + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "o" }, "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "lsb" + "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "port": "in" } }, { "source": { - "block": "d87dc0ca-b86d-4e51-a133-d49b2d371b94", + "block": "fbb7417a-5326-4b54-a511-d44d30625d6d", "port": "out" }, "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "shift" - } - }, - { - "source": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "q" - }, - "target": { - "block": "367ee5f5-83e8-466f-8698-28455552ff71", - "port": "in" + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "i" }, "size": 23 - }, - { - "source": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "MSB" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } } ] } } }, - "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6": { - "package": { - "name": "Extract-1-bit", - "version": "0.1", - "description": "Extract 1 bit from a 23-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "352fe87a-e310-4225-9c82-86adf05aade8", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 968, - "y": 296 - } - }, - { - "id": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "type": "basic.input", - "data": { - "name": "", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 512, - "y": 296 - } - }, - { - "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 744, - "y": 184 - } - }, - { - "id": "15921a47-9c6e-411a-95a4-31330b104b72", - "type": "basic.code", - "data": { - "code": "assign o = i[BIT];", - "params": [ - { - "name": "BIT" - } - ], - "ports": { - "in": [ - { - "name": "i", - "range": "[22:0]", - "size": 23 - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 672, - "y": 296 - }, - "size": { - "width": 232, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "port": "constant-out" - }, - "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "BIT" - }, - "vertices": [] - }, - { - "source": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "o" - }, - "target": { - "block": "352fe87a-e310-4225-9c82-86adf05aade8", - "port": "in" - } - }, - { - "source": { - "block": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "port": "out" - }, - "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "i" - }, - "size": 23 - } - ] - } - } - }, - "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { + "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { "package": { "name": "XOR", "version": "1.0.1", @@ -4178,6 +2491,960 @@ ] } } + }, + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { + "package": { + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 840, + "y": 160 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 152, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 840, + "y": 400 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 176, + "y": -16 + }, + "size": { + "width": 568, + "height": 80 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 328, + "y": 456 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 + } + }, + { + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, + "position": { + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 + } + }, + { + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 280 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + } + ] + } + } + }, + "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "package": { + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 72 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 600, + "y": 96 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { + "package": { + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": 184 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 232 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 280 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 352, + "height": 192 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "7a11921b0d0ebdd41ebb33ea6eb263c988ac39da": { + "package": { + "name": "23-SL", + "version": "0.6", + "description": "23-SL: 23 bits shift left register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M29.925%205.385H19.28M61.424%203.789c.831%200%201.506.662%201.506%201.481%200%20.819-.675%201.482-1.506%201.481H19.603a1.494%201.494%200%200%201-1.507-1.48c0-.82.675-1.483%201.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M14.971%205.385l6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(-.70563%200%200%20.69474%2071.714%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2db5500c-71a6-4335-8bd0-701afda87946", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 824, + "y": -40 + } + }, + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 224, + "y": 48 + } + }, + { + "id": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c", + "type": "basic.output", + "data": { + "name": "so" + }, + "position": { + "x": 808, + "y": 80 + } + }, + { + "id": "adb65ce3-8256-4acb-ad39-154262efb1ad", + "type": "basic.input", + "data": { + "name": "si", + "clock": false + }, + "position": { + "x": 216, + "y": 176 + } + }, + { + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[22:0]", + "size": 23 + }, + "position": { + "x": 808, + "y": 272 + } + }, + { + "id": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e", + "type": "basic.input", + "data": { + "name": "shift", + "clock": false + }, + "position": { + "x": 224, + "y": 304 + } + }, + { + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 504, + "y": -96 + } + }, + { + "id": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "sin" + }, + { + "name": "shift" + } + ], + "out": [ + { + "name": "sout" + }, + { + "name": "q", + "range": "[22:0]", + "size": 23 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic Shift left register\n//-- Number of bits\nlocalparam N = 23;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Shift to the left when the\n //-- shift iput is 1\n if (shift == 1)\n\n //-- Shift to the left\n qi <= {qi[N-2:0], sin};\nend\n\n//-- Serial out: \n//-- It is the most significant bit\nassign sout = qi[N-1];\n\n//-- Paralell out\nassign q = qi;\n" + }, + "position": { + "x": 376, + "y": 16 + }, + "size": { + "width": 352, + "height": 384 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "clk" + } + }, + { + "source": { + "block": "adb65ce3-8256-4acb-ad39-154262efb1ad", + "port": "out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "sin" + } + }, + { + "source": { + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "INI" + } + }, + { + "source": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "q" + }, + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 23 + }, + { + "source": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "sout" + }, + "target": { + "block": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c", + "port": "in" + } + }, + { + "source": { + "block": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e", + "port": "out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "shift" + } + } + ] + } + } } } } \ No newline at end of file diff --git a/blocks/Generators/tone-triangle.ice b/blocks/Generators/tone-triangle.ice index 93d0359..bbb0a38 100644 --- a/blocks/Generators/tone-triangle.ice +++ b/blocks/Generators/tone-triangle.ice @@ -1,15 +1,15 @@ { "version": "1.2", "package": { - "name": "generator-tone-triangle", - "version": "0.1", + "name": "generator-tone-triangle CLONE", + "version": "0.1-c1717073074472", "description": "tone-triangle generator", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ETriang.%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413l38.981%2065.276%2042.952-65.276%2042.387%2065.276%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", "otid": 1588504190451 }, "design": { - "board": "alhambra-ii", + "board": "iCEBreaker", "graph": { "blocks": [ { @@ -18,69 +18,7 @@ "data": { "name": "sample", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "size": 12 }, "position": { "x": 1424, @@ -93,130 +31,8 @@ "data": { "name": "phase", "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "clock": false, + "size": 24 }, "position": { "x": 328, @@ -259,18 +75,6 @@ "height": 64 } }, - { - "id": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "type": "c4c55ef0789d51deb758cb3be04a41176645f300", - "position": { - "x": 1048, - "y": 432 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", "type": "d4c82efb5b8421e49ac9b858ba26d2ae330d410a", @@ -294,6 +98,18 @@ "width": 96, "height": 64 } + }, + { + "id": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "type": "fce857ceb8e70b34eae4384880aa348131314c28", + "position": { + "x": 1048, + "y": 432 + }, + "size": { + "width": 96, + "height": 96 + } } ], "wires": [ @@ -321,50 +137,21 @@ "vertices": [], "size": 11 }, - { - "source": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "a2157279-c57a-4afc-b8f7-09d3dfe4031d" - }, - "vertices": [ - { - "x": 832, - "y": 568 - } - ], - "size": 11 - }, { "source": { "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" }, "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" }, "vertices": [] }, { "source": { - "block": "5d537c32-ed34-4830-8226-c5c7453e56be", - "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17" - }, - "vertices": [], - "size": 11 - }, - { - "source": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "9475475f-e8c6-4e95-8f49-3bb3465377ef" + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "6ec453ac-86e7-416f-bd41-ae5e17ad190d" }, "target": { "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", @@ -405,6 +192,34 @@ "port": "in" }, "size": 12 + }, + { + "source": { + "block": "5d537c32-ed34-4830-8226-c5c7453e56be", + "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "73af1101-73af-449e-8c8b-0f32cd177bbb" + }, + "size": 11 + }, + { + "source": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86" + }, + "vertices": [ + { + "x": 832, + "y": 544 + } + ], + "size": 11 } ] } @@ -1046,159 +861,6 @@ } } }, - "c4c55ef0789d51deb758cb3be04a41176645f300": { - "package": { - "name": "11bits-2-1-Mux", - "version": "0.1", - "description": "11 bits, 2-1 Multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", - "type": "basic.input", - "data": { - "name": "i0", - "range": "[10:0]", - "clock": false, - "size": 11 - }, - "position": { - "x": -648, - "y": -88 - } - }, - { - "id": "9475475f-e8c6-4e95-8f49-3bb3465377ef", - "type": "basic.output", - "data": { - "name": "", - "range": "[10:0]", - "size": 11 - }, - "position": { - "x": -88, - "y": 0 - } - }, - { - "id": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", - "type": "basic.input", - "data": { - "name": "i1", - "range": "[10:0]", - "clock": false, - "size": 11 - }, - "position": { - "x": -656, - "y": 0 - } - }, - { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, - "position": { - "x": -656, - "y": 88 - } - }, - { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "type": "basic.code", - "data": { - "code": "\nreg [10:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[10:0]", - "size": 11 - }, - { - "name": "i0", - "range": "[10:0]", - "size": 11 - }, - { - "name": "sel" - } - ], - "out": [ - { - "name": "o", - "range": "[10:0]", - "size": 11 - } - ] - } - }, - "position": { - "x": -464, - "y": -104 - }, - "size": { - "width": 304, - "height": 272 - } - } - ], - "wires": [ - { - "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "port": "out" - }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" - } - }, - { - "source": { - "block": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", - "port": "out" - }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" - }, - "size": 11 - }, - { - "source": { - "block": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", - "port": "out" - }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 11 - }, - { - "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" - }, - "target": { - "block": "9475475f-e8c6-4e95-8f49-3bb3465377ef", - "port": "in" - }, - "size": 11 - } - ] - } - } - }, "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { "package": { "name": "Join-11-1", @@ -1383,6 +1045,161 @@ ] } } + }, + "fce857ceb8e70b34eae4384880aa348131314c28": { + "package": { + "name": "11-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (11-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "73af1101-73af-449e-8c8b-0f32cd177bbb", + "type": "basic.input", + "data": { + "name": "1", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 320, + "y": -64 + } + }, + { + "id": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "type": "basic.output", + "data": { + "name": "", + "range": "[10:0]", + "size": 11 + }, + "position": { + "x": 984, + "y": 24 + } + }, + { + "id": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "type": "basic.input", + "data": { + "name": "0", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 320, + "y": 24 + } + }, + { + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 320, + "y": 112 + } + }, + { + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "i1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "i0", + "range": "[10:0]", + "size": 11 + }, + { + "name": "sel" + } + ], + "out": [ + { + "name": "o", + "range": "[10:0]", + "size": 11 + } + ] + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" + }, + "position": { + "x": 560, + "y": -80 + }, + "size": { + "width": 304, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" + }, + "target": { + "block": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "port": "in" + }, + "size": 11 + }, + { + "source": { + "block": "73af1101-73af-449e-8c8b-0f32cd177bbb", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" + }, + "size": 11 + }, + { + "source": { + "block": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" + }, + "size": 11 + } + ] + } + } } } } \ No newline at end of file diff --git a/blocks/Utils/Heart-1Mhz.ice b/blocks/Utils/Heart-1Mhz.ice index dd46deb..c317a21 100644 --- a/blocks/Utils/Heart-1Mhz.ice +++ b/blocks/Utils/Heart-1Mhz.ice @@ -2,50 +2,42 @@ "version": "1.2", "package": { "name": "Generic-comp", - "version": "0.1", + "version": "0.3", "description": "Componente genérico", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588417752409 + "otid": 1588419319540 }, "design": { "board": "alhambra-ii", "graph": { "blocks": [ { - "id": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "id": "cb293ecb-e039-46f8-925f-3846c61121cb", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 112, - "y": -160 + "x": 176, + "y": 464 } }, { - "id": "3c21d0b8-1500-4302-8605-4d6ed5629399", + "id": "341ecb90-c222-498d-b060-03a84934ae42", "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { - "x": 256, - "y": -160 + "x": 320, + "y": 464 } }, { - "id": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", + "id": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "type": "basic.outputLabel", "data": { "blockColor": "yellow", @@ -53,12 +45,12 @@ "oldBlockColor": "yellow" }, "position": { - "x": 840, - "y": 128 + "x": 904, + "y": 752 } }, { - "id": "a9b6abeb-3c2a-4177-81bb-264e0469a780", + "id": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", "type": "basic.outputLabel", "data": { "blockColor": "yellow", @@ -66,24 +58,23 @@ "oldBlockColor": "yellow" }, "position": { - "x": 456, - "y": 176 + "x": 520, + "y": 800 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "id": "fb8ce452-0454-433b-b40f-e8398e0be05d", "type": "basic.output", "data": { - "name": "", - "virtual": false + "name": "" }, "position": { - "x": 1160, - "y": 192 + "x": 1224, + "y": 816 } }, { - "id": "79b585b1-6e52-499b-a8d2-dba4954d9555", + "id": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", "type": "basic.constant", "data": { "name": "FULL_SCALE", @@ -91,12 +82,12 @@ "local": true }, "position": { - "x": 152, - "y": 112 + "x": 216, + "y": 736 } }, { - "id": "608882f4-bffa-4cac-940f-99f60d98ad53", + "id": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", "type": "basic.constant", "data": { "name": "sys", @@ -104,28 +95,16 @@ "local": false }, "position": { - "x": 304, - "y": 112 - } - }, - { - "id": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 992, - "y": 192 - }, - "size": { - "width": 96, - "height": 64 + "x": 368, + "y": 736 } }, { - "id": "cc596b64-394a-42a6-8d89-6c3835af332b", + "id": "35349e5f-e22f-4024-aaf1-2c907844d0f9", "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", "position": { - "x": 800, - "y": 224 + "x": 864, + "y": 848 }, "size": { "width": 96, @@ -133,23 +112,11 @@ } }, { - "id": "67203b56-8451-47ba-959a-75491b1726b7", + "id": "9911354d-905b-4a15-9145-f91f62b8cb74", "type": "88097a55204933bef05c6297042b1543bf8e94a9", "position": { - "x": 256, - "y": 240 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "type": "81a70a6cf9e19133ae28596244673dd8b334975a", - "position": { - "x": 584, - "y": 224 + "x": 320, + "y": 864 }, "size": { "width": 96, @@ -157,15 +124,15 @@ } }, { - "id": "32f7ed09-9081-4108-bb42-b2a237a9f44f", + "id": "7a1019da-b362-4368-8a7b-ebe37beaad69", "type": "basic.info", "data": { "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", "readonly": true }, "position": { - "x": 256, - "y": 16 + "x": 320, + "y": 640 }, "size": { "width": 376, @@ -173,15 +140,15 @@ } }, { - "id": "c08702d3-eaf3-4131-a428-78c06a027c37", + "id": "7027d28e-a7d4-4956-806f-6c5ffb04e545", "type": "basic.info", "data": { "info": "## 1MHZ Heart", "readonly": true }, "position": { - "x": 504, - "y": -200 + "x": 568, + "y": 424 }, "size": { "width": 136, @@ -189,15 +156,15 @@ } }, { - "id": "303020b0-64ad-4fe4-ac69-6d2d657cae25", + "id": "0349028a-b6ab-4724-87cb-fa615235b14d", "type": "basic.info", "data": { "info": "Constant value: \nk = Full_scale / Sys_clock", "readonly": true }, "position": { - "x": 360, - "y": 288 + "x": 424, + "y": 912 }, "size": { "width": 224, @@ -205,15 +172,15 @@ } }, { - "id": "b3ce1313-5336-44c8-854a-87ac1797e696", + "id": "342ebac8-1c7d-40a2-86c3-80fee0f97ad0", "type": "basic.info", "data": { "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", "readonly": true }, "position": { - "x": 520, - "y": 120 + "x": 584, + "y": 744 }, "size": { "width": 336, @@ -221,94 +188,131 @@ } }, { - "id": "ff952d5a-c406-4ca7-9cb8-1a31ac75f4f6", + "id": "18c59370-73e4-4e97-bf75-08f720ef4b7a", "type": "basic.info", "data": { "info": "The output is a tic", "readonly": true }, "position": { - "x": 1016, - "y": 144 + "x": 1080, + "y": 768 }, "size": { "width": 184, "height": 48 } + }, + { + "id": "776614c6-7e90-4828-ac11-cf91158e0156", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1056, + "y": 816 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "type": "796c3e94f671052cf01acc3e9dc67782339a169e", + "position": { + "x": 648, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } } ], "wires": [ { "source": { - "block": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "block": "cb293ecb-e039-46f8-925f-3846c61121cb", "port": "out" }, "target": { - "block": "3c21d0b8-1500-4302-8605-4d6ed5629399", + "block": "341ecb90-c222-498d-b060-03a84934ae42", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "a9b6abeb-3c2a-4177-81bb-264e0469a780", + "block": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "port": "outlabel" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" - } + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + }, + "vertices": [] }, { "source": { - "block": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", + "block": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", "port": "outlabel" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "4196184b-4a60-493b-bcc6-c95958483683" - } + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" + }, + "vertices": [] }, { "source": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "port": "constant-out" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "56066111-a701-4e2c-b64c-aeed7831cf00" }, "vertices": [] }, { "source": { - "block": "79b585b1-6e52-499b-a8d2-dba4954d9555", + "block": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", "port": "constant-out" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "56066111-a701-4e2c-b64c-aeed7831cf00" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" }, "vertices": [] }, { "source": { - "block": "608882f4-bffa-4cac-940f-99f60d98ad53", - "port": "constant-out" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" + "block": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + }, + "target": { + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, "vertices": [] }, { "source": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" }, "target": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" }, "vertices": [], @@ -316,143 +320,20 @@ }, { "source": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", "port": "b528263e-f7ac-434f-b590-7de7a093d85c" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" }, "vertices": [], "size": 26 - }, - { - "source": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" - }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } } ] } }, "dependencies": { - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { - "package": { - "name": "Subida", - "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4196184b-4a60-493b-bcc6-c95958483683", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 152, - "y": 176 - } - }, - { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 624, - "y": 200 - } - }, - { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "type": "basic.input", - "data": { - "name": "", - "clock": false - }, - "position": { - "x": 152, - "y": 232 - } - }, - { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "type": "basic.code", - "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", - "params": [], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, - "position": { - "x": 336, - "y": 176 - }, - "size": { - "width": 232, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" - } - }, - { - "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" - }, - "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "port": "in" - } - }, - { - "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" - } - } - ] - } - } - }, "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { "package": { "name": "split-1-25-bus", @@ -828,220 +709,814 @@ } } }, - "81a70a6cf9e19133ae28596244673dd8b334975a": { + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { "package": { - "name": "26-bits-accumulator", - "version": "0.1", - "description": "26-bits accumulator", + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "id": "2708468d-1088-4570-be63-fb0d4799a941", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 48, - "y": 40 + "x": 152, + "y": 152 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "nc" }, "position": { - "x": 192, - "y": 40 + "x": 840, + "y": 160 } }, { - "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", - "type": "basic.output", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", "data": { "name": "", - "range": "[25:0]", - "size": 26 + "clock": false }, "position": { - "x": 1000, - "y": 136 + "x": 152, + "y": 280 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "" }, "position": { - "x": 280, - "y": 208 + "x": 840, + "y": 400 } }, { - "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "type": "basic.inputLabel", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 176, + "y": -16 + }, + "size": { + "width": 568, + "height": 80 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 328, + "y": 456 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 + } + }, + { + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, + "position": { + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 + } + }, + { + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 280 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + } + ] + } + } + }, + "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "package": { + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 72 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 600, + "y": 96 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { + "package": { + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": 184 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 232 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 280 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ { - "index": "0", - "name": "", - "value": "" + "name": "INI" } ], - "virtual": true + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 352, + "height": 192 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "796c3e94f671052cf01acc3e9dc67782339a169e": { + "package": { + "name": "26-bits-accumulator", + "version": "0.2", + "description": "26-bits accumulator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, "position": { - "x": 824, - "y": 272 + "x": 48, + "y": 40 + } + }, + { + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 192, + "y": 40 + } + }, + { + "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 1000, + "y": 136 + } + }, + { + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 264, + "y": 192 } }, { @@ -1054,7 +1529,21 @@ "size": 26 }, "position": { - "x": 272, + "x": 256, + "y": 256 + } + }, + { + "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 824, "y": 272 } }, @@ -1073,11 +1562,11 @@ } }, { - "id": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "type": "335f07bcdf418bb67136b63c8cfc389233bbc50d", + "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", "position": { - "x": 448, - "y": 256 + "x": 648, + "y": 272 }, "size": { "width": 96, @@ -1085,11 +1574,11 @@ } }, { - "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "id": "1df42869-92b9-4d52-9f23-8c11c353e787", + "type": "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6", "position": { - "x": 648, - "y": 272 + "x": 432, + "y": 240 }, "size": { "width": 96, @@ -1115,8 +1604,8 @@ "port": "outlabel" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "554e0534-d5da-4c8a-a78e-182a3698839e", "size": 26 }, "size": 26 @@ -1139,14 +1628,14 @@ "port": "outlabel" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "ddb7faf8-5783-464a-806d-e7cb3e38683b" } }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" }, "target": { "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", @@ -1168,8 +1657,8 @@ }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" }, "target": { "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", @@ -1181,31 +1670,33 @@ } } }, - "335f07bcdf418bb67136b63c8cfc389233bbc50d": { + "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { "package": { - "name": "26-bits-register", + "name": "sum-2op-26bits", "version": "0.1", - "description": "24-bits system register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "description": "24-bits adder with two operands", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "0c88add0-cbf5-4d14-967b-417400538a29", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 88, - "y": 192 + "x": 192, + "y": 144 } }, { - "id": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "type": "basic.output", "data": { "name": "", @@ -1213,12 +1704,12 @@ "size": 26 }, "position": { - "x": 640, - "y": 248 + "x": 672, + "y": 192 } }, { - "id": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", "type": "basic.input", "data": { "name": "", @@ -1227,47 +1718,32 @@ "size": 26 }, "position": { - "x": 88, - "y": 296 - } - }, - { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 376, - "y": 56 + "x": 192, + "y": 256 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "localparam N = 26;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign s = a + b;", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "a", + "range": "[25:0]", + "size": 26 }, { - "name": "d", + "name": "b", "range": "[25:0]", "size": 26 } ], "out": [ { - "name": "q", + "name": "s", "range": "[25:0]", "size": 26 } @@ -1275,55 +1751,45 @@ } }, "position": { - "x": 280, - "y": 176 + "x": 384, + "y": 192 }, "size": { - "width": 288, - "height": 200 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "0c88add0-cbf5-4d14-967b-417400538a29", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 26 }, { "source": { - "block": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" }, "size": 26 }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "port": "in" }, "size": 26 @@ -1332,33 +1798,43 @@ } } }, - "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { + "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6": { "package": { - "name": "sum-2op-26bits", - "version": "0.1", - "description": "24-bits adder with two operands", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "26-Sys-reg", + "version": "0.8", + "description": "26-Sys-reg: 26 bits system register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "0c88add0-cbf5-4d14-967b-417400538a29", + "id": "e1a94202-b953-492e-98ef-7f33548e273b", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 968, + "y": 48 + } + }, + { + "id": "ddb7faf8-5783-464a-806d-e7cb3e38683b", "type": "basic.input", "data": { "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 + "clock": true }, "position": { - "x": 192, - "y": 144 + "x": 384, + "y": 88 } }, { - "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "id": "adfb2cfe-8521-4f06-ac72-3d200ba84185", "type": "basic.output", "data": { "name": "", @@ -1366,12 +1842,12 @@ "size": 26 }, "position": { - "x": 672, - "y": 192 + "x": 968, + "y": 144 } }, { - "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "id": "554e0534-d5da-4c8a-a78e-182a3698839e", "type": "basic.input", "data": { "name": "", @@ -1380,79 +1856,103 @@ "size": 26 }, "position": { - "x": 192, - "y": 256 + "x": 376, + "y": 200 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 664, + "y": -48 + } + }, + { + "id": "a488e8ac-cd04-4656-9880-218437a4aba0", "type": "basic.code", "data": { - "code": "assign s = a + b;", - "params": [], "ports": { "in": [ { - "name": "a", - "range": "[25:0]", - "size": 26 + "name": "clk" }, { - "name": "b", + "name": "d", "range": "[25:0]", "size": 26 } ], "out": [ { - "name": "s", + "name": "q", "range": "[25:0]", "size": 26 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 26;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n\n" }, "position": { - "x": 384, - "y": 192 + "x": 536, + "y": 64 }, "size": { - "width": 216, - "height": 64 + "width": 352, + "height": 224 } } ], "wires": [ { "source": { - "block": "0c88add0-cbf5-4d14-967b-417400538a29", - "port": "out" + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "INI" + } + }, + { + "source": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "q" + }, + "target": { + "block": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "port": "in" }, "size": 26 }, { "source": { - "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "block": "ddb7faf8-5783-464a-806d-e7cb3e38683b", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 26 + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "clk" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "554e0534-d5da-4c8a-a78e-182a3698839e", + "port": "out" }, "target": { - "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "port": "in" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "d" }, "size": 26 } diff --git a/examples/01-tone-pulse-two-amps.ice b/examples/01-tone-pulse-two-amps.ice index 8a0a99e..8fbea24 100644 --- a/examples/01-tone-pulse-two-amps.ice +++ b/examples/01-tone-pulse-two-amps.ice @@ -644,18 +644,6 @@ "height": 64 } }, - { - "id": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "type": "27b197ddfe28a2c9581a304b0ccd015cc15cf26e", - "position": { - "x": 2384, - "y": 496 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "40caf211-5636-4718-b06e-fd8298616ce7", "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", @@ -668,18 +656,6 @@ "height": 64 } }, - { - "id": "53d4db3b-708f-449d-a8c6-460d92987397", - "type": "359a555a147b0afd9d84e4a720ec84b7cdfbc034", - "position": { - "x": 1784, - "y": 120 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "de62124e-f283-4394-9e0b-3646526a69bf", "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", @@ -692,18 +668,6 @@ "height": 64 } }, - { - "id": "02a028c3-90da-4c6f-8f50-e2363004e401", - "type": "5124dff3f8dd174c4eadfa302b77435826215918", - "position": { - "x": 1568, - "y": 392 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "29e9ef20-37a5-4c3a-be2c-2822ea40ce15", "type": "basic.info", @@ -736,30 +700,6 @@ "height": 56 } }, - { - "id": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "type": "b874a1c418f63978103a0af886f5f5a730f47061", - "position": { - "x": 1136, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "409d054f-820e-44d1-9997-c72117d07134", - "type": "772f42d85230a43faf163474e79bd25bdd1f2aba", - "position": { - "x": 1536, - "y": 680 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "4025c3fc-24e7-49fa-b596-4a5b1b74cd7a", "type": "basic.info", @@ -792,18 +732,6 @@ "height": 32 } }, - { - "id": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "type": "be3c9cd53a16ff19140224bb4472883b9eebb8fc", - "position": { - "x": 2128, - "y": 512 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "c51a9548-0204-47a1-8afb-18f502a54f0d", "type": "basic.info", @@ -899,32 +827,94 @@ "width": 192, "height": 56 } - } - ], - "wires": [ + }, { - "source": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "id": "53ce79ec-f2d6-47f0-98bb-120a7d842db5", + "type": "7337072eda07033b374cbf896d4797b54ee4824c", + "position": { + "x": 1136, + "y": 168 }, - "target": { - "block": "1dc9ade9-9773-4717-a261-88673d386f09", - "port": "inlabel" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e8ccabe1-fef5-4722-8b83-4337fc556f72", + "type": "4aa649ed9a98770b6b495b76e13c8991f2ada2f8", + "position": { + "x": 1544, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d0c789bf-6d03-418c-a7fc-2c6b764fc2e7", + "type": "249940b3a2a6f82363df9ad6e49d976c389523b9", + "position": { + "x": 1784, + "y": 120 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "3131e7c0-b122-4173-a5f4-c772e727b4b0", + "type": "43d9245a8c7f09d6a81945d758e1cb8241e24084", + "position": { + "x": 2384, + "y": 496 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "061e9d59-5120-4693-a1a8-225003b5900f", + "type": "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223", + "position": { + "x": 2128, + "y": 512 + }, + "size": { + "width": 96, + "height": 64 } }, + { + "id": "190f5ce7-7101-4c4a-acc8-dc068cc84f1c", + "type": "a7499d579ce034fce2c6c201134ee0acbd4232cd", + "position": { + "x": 1536, + "y": 680 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ { "source": { "block": "9283510e-9f10-4729-a7ff-f91af0d38ad7", "port": "outlabel" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "190f5ce7-7101-4c4a-acc8-dc068cc84f1c", "port": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90" } }, { "source": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "190f5ce7-7101-4c4a-acc8-dc068cc84f1c", "port": "bf631fb2-9575-442d-ae82-71dd0be21888" }, "target": { @@ -939,15 +929,15 @@ "port": "outlabel" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "061e9d59-5120-4693-a1a8-225003b5900f", "port": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9" }, "size": 24 }, { "source": { - "block": "53d4db3b-708f-449d-a8c6-460d92987397", - "port": "bf25756a-65a4-4b09-915d-494010d6f4ff" + "block": "d0c789bf-6d03-418c-a7fc-2c6b764fc2e7", + "port": "e1d78d57-b098-4613-a11e-9e106a69765b" }, "target": { "block": "83cbdc12-318d-426d-b534-23f7b9271a4c", @@ -961,7 +951,7 @@ "port": "outlabel" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "061e9d59-5120-4693-a1a8-225003b5900f", "port": "42537563-f4f5-4a36-abbb-3a8111a7cd98" }, "size": 8 @@ -989,71 +979,64 @@ }, { "source": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" + "block": "fde0d67d-6f85-4547-9c94-a3dcb88e870d", + "port": "constant-out" }, "target": { - "block": "66d901ac-25da-4b75-95e7-26134253afcb", - "port": "in" + "block": "40caf211-5636-4718-b06e-fd8298616ce7", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { "source": { - "block": "40caf211-5636-4718-b06e-fd8298616ce7", - "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + "block": "d55ed74c-7920-4e7c-98c8-898d099897ed", + "port": "constant-out" }, "target": { - "block": "53d4db3b-708f-449d-a8c6-460d92987397", - "port": "9f5a1c91-1b93-4609-877c-816ed8fd0871" + "block": "de62124e-f283-4394-9e0b-3646526a69bf", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" }, - "size": 8 + "vertices": [] }, { "source": { - "block": "fde0d67d-6f85-4547-9c94-a3dcb88e870d", - "port": "constant-out" + "block": "e8ccabe1-fef5-4722-8b83-4337fc556f72", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "40caf211-5636-4718-b06e-fd8298616ce7", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "block": "d0c789bf-6d03-418c-a7fc-2c6b764fc2e7", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" } }, { "source": { - "block": "d55ed74c-7920-4e7c-98c8-898d099897ed", - "port": "constant-out" + "block": "c0fbb56e-1732-47f8-a014-c3185669a80b", + "port": "92dade38-46ec-4714-b28b-f4bb1aeca992" }, "target": { - "block": "de62124e-f283-4394-9e0b-3646526a69bf", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "block": "190f5ce7-7101-4c4a-acc8-dc068cc84f1c", + "port": "4329f2d6-e1ce-4034-becb-e7fda9e134a8" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "de62124e-f283-4394-9e0b-3646526a69bf", - "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + "block": "53ce79ec-f2d6-47f0-98bb-120a7d842db5", + "port": "fb8ce452-0454-433b-b40f-e8398e0be05d" }, "target": { - "block": "53d4db3b-708f-449d-a8c6-460d92987397", - "port": "325fbba1-e929-4921-a644-95f918e6e4ee" - }, - "vertices": [ - { - "x": 1712, - "y": 208 - } - ], - "size": 8 + "block": "1dc9ade9-9773-4717-a261-88673d386f09", + "port": "inlabel" + } }, { "source": { - "block": "02a028c3-90da-4c6f-8f50-e2363004e401", - "port": "70887b0b-826c-4150-a873-605b77da8272" + "block": "a83bee81-d2b9-43b3-97b3-b4865f8fdc5d", + "port": "constant-out" }, "target": { - "block": "53d4db3b-708f-449d-a8c6-460d92987397", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "block": "53ce79ec-f2d6-47f0-98bb-120a7d842db5", + "port": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd" } }, { @@ -1062,41 +1045,64 @@ "port": "constant-out" }, "target": { - "block": "02a028c3-90da-4c6f-8f50-e2363004e401", - "port": "319cdca8-e777-4c8b-88ca-f885ba96ad06" + "block": "e8ccabe1-fef5-4722-8b83-4337fc556f72", + "port": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10" } }, { "source": { - "block": "a83bee81-d2b9-43b3-97b3-b4865f8fdc5d", - "port": "constant-out" + "block": "de62124e-f283-4394-9e0b-3646526a69bf", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "608882f4-bffa-4cac-940f-99f60d98ad53" - } + "block": "d0c789bf-6d03-418c-a7fc-2c6b764fc2e7", + "port": "d3868260-885c-407b-b2e4-3a25beb8fd5e" + }, + "vertices": [ + { + "x": 1728, + "y": 216 + } + ], + "size": 8 }, { "source": { - "block": "c0fbb56e-1732-47f8-a014-c3185669a80b", - "port": "92dade38-46ec-4714-b28b-f4bb1aeca992" + "block": "40caf211-5636-4718-b06e-fd8298616ce7", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", - "port": "4329f2d6-e1ce-4034-becb-e7fda9e134a8" + "block": "d0c789bf-6d03-418c-a7fc-2c6b764fc2e7", + "port": "3ebd9e0a-9308-4615-af47-ae7b97f6f04a" }, - "size": 16 + "vertices": [ + { + "x": 1696, + "y": 96 + } + ], + "size": 8 }, { "source": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "061e9d59-5120-4693-a1a8-225003b5900f", "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480" }, "target": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "5b2833db-e0ab-43e7-bb2b-528f9940baf6" + "block": "3131e7c0-b122-4173-a5f4-c772e727b4b0", + "port": "56c80258-8515-47c4-98b9-af247b8fa77b" }, "size": 12 + }, + { + "source": { + "block": "3131e7c0-b122-4173-a5f4-c772e727b4b0", + "port": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d" + }, + "target": { + "block": "66d901ac-25da-4b75-95e7-26134253afcb", + "port": "in" + } } ] } @@ -1196,313 +1202,205 @@ } } }, - "27b197ddfe28a2c9581a304b0ccd015cc15cf26e": { + "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { "package": { - "name": "PDM-1bit-DAC", - "version": "0.1", - "description": "Pulse-density modulated 1-bit DAC", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588403710364 + "name": "Constante-8bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -8, - "y": 64 - } - }, - { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "type": "basic.inputLabel", + "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "k", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 136, - "y": 64 + "x": 960, + "y": 248 } }, { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "type": "basic.outputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "", + "value": "0", + "local": false }, "position": { - "x": 912, - "y": 168 + "x": 728, + "y": 128 } }, { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "type": "basic.outputLabel", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 128, - "y": 208 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "type": "basic.output", + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "7337072eda07033b374cbf896d4797b54ee4824c": { + "package": { + "name": "Generic-comp", + "version": "0.3", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1588419319540 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb293ecb-e039-46f8-925f-3846c61121cb", + "type": "basic.input", "data": { - "name": "out" + "name": "", + "clock": true }, "position": { - "x": 1192, - "y": 256 + "x": 176, + "y": 464 + } + }, + { + "id": "341ecb90-c222-498d-b060-03a84934ae42", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 320, + "y": 464 } }, { - "id": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", + "id": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "type": "basic.outputLabel", "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", - "size": 12 + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 136, - "y": 320 + "x": 904, + "y": 752 } }, { - "id": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "id": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", "type": "basic.outputLabel", "data": { - "blockColor": "navy", - "name": "din", - "range": "[11:0]", - "oldBlockColor": "navy", - "size": 12 + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 344, - "y": 368 + "x": 520, + "y": 800 } }, { - "id": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "type": "basic.inputLabel", + "id": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "" }, "position": { - "x": 824, - "y": 384 + "x": 1224, + "y": 816 } }, { - "id": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "type": "basic.input", + "id": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "type": "basic.constant", "data": { - "name": "din", - "range": "[11:0]", - "clock": false, - "size": 12 + "name": "FULL_SCALE", + "value": "2**26", + "local": true }, "position": { - "x": 0, - "y": 520 + "x": 216, + "y": 736 } }, { - "id": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "type": "basic.inputLabel", + "id": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "type": "basic.constant", "data": { - "name": "din", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "oldBlockColor": "navy", - "blockColor": "navy" + "name": "sys", + "value": "12", + "local": false }, "position": { - "x": 160, - "y": 520 + "x": 368, + "y": 736 } }, { - "id": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "type": "322ac6e3834f854f1ff8aaea07062751a7577cbf", + "id": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", "position": { - "x": 288, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", - "position": { - "x": 488, - "y": 288 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "type": "117c154c9710e7538dc4149a2241691940cc4a09", - "position": { - "x": 688, - "y": 288 + "x": 864, + "y": 848 }, "size": { "width": 96, @@ -1510,11 +1408,11 @@ } }, { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "id": "9911354d-905b-4a15-9145-f91f62b8cb74", + "type": "88097a55204933bef05c6297042b1543bf8e94a9", "position": { - "x": 1048, - "y": 256 + "x": 320, + "y": 864 }, "size": { "width": 96, @@ -1522,493 +1420,422 @@ } }, { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", + "id": "7a1019da-b362-4368-8a7b-ebe37beaad69", "type": "basic.info", "data": { - "info": "Accumulator", + "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", "readonly": true }, "position": { - "x": 304, - "y": 216 + "x": 320, + "y": 640 }, "size": { - "width": 120, - "height": 40 + "width": 376, + "height": 72 } }, { - "id": "12428847-e480-477f-be65-13b493b6c88c", + "id": "7027d28e-a7d4-4956-806f-6c5ffb04e545", "type": "basic.info", "data": { - "info": "Add the incoming sample \nto the accumulator", + "info": "## 1MHZ Heart", "readonly": true }, "position": { - "x": 464, - "y": 216 + "x": 568, + "y": 424 }, "size": { - "width": 200, - "height": 56 + "width": 136, + "height": 40 } }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", + "id": "0349028a-b6ab-4724-87cb-fa615235b14d", "type": "basic.info", "data": { - "info": "Incoming sample", + "info": "Constant value: \nk = Full_scale / Sys_clock", "readonly": true }, "position": { - "x": 48, - "y": 488 + "x": 424, + "y": 912 }, "size": { - "width": 152, - "height": 40 + "width": 224, + "height": 64 } }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", + "id": "342ebac8-1c7d-40a2-86c3-80fee0f97ad0", "type": "basic.info", "data": { - "info": "Accumulator overflow \ncarry set (MSB)", + "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", "readonly": true }, "position": { - "x": 696, - "y": 216 + "x": 584, + "y": 744 }, "size": { - "width": 184, + "width": 336, "height": 56 } }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", + "id": "18c59370-73e4-4e97-bf75-08f720ef4b7a", "type": "basic.info", "data": { - "info": "Pulse-density modulated \noutput signal", + "info": "The output is a tic", "readonly": true }, "position": { - "x": 1184, - "y": 208 + "x": 1080, + "y": 768 }, "size": { - "width": 200, - "height": 56 + "width": 184, + "height": 48 } }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", - "type": "basic.info", - "data": { - "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", - "readonly": true - }, + "id": "776614c6-7e90-4828-ac11-cf91158e0156", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", "position": { - "x": 320, - "y": -256 + "x": 1056, + "y": 816 }, "size": { - "width": 760, - "height": 272 + "width": 96, + "height": 64 } }, { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", - "type": "basic.info", - "data": { - "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", - "readonly": true - }, + "id": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "type": "796c3e94f671052cf01acc3e9dc67782339a169e", "position": { - "x": 1272, - "y": -248 + "x": 648, + "y": 848 }, "size": { - "width": 496, - "height": 184 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "block": "cb293ecb-e039-46f8-925f-3846c61121cb", "port": "out" }, "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "block": "341ecb90-c222-498d-b060-03a84934ae42", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" - }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", + "block": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "port": "outlabel" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "port": "out", - "size": 12 - }, - "target": { - "block": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "port": "inlabel" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "2708468d-1088-4570-be63-fb0d4799a941" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "block": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", "port": "outlabel" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "size": 12 + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "d3293383-456c-471c-974d-342cc97e652b", - "size": 12 + "block": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "port": "constant-out" }, "target": { - "block": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "port": "inlabel" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "56066111-a701-4e2c-b64c-aeed7831cf00" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "port": "outlabel" + "block": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "port": "constant-out" }, "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "size": 12 + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + "block": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "port": "in" }, - "vertices": [], - "size": 12 + "vertices": [] }, { "source": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" }, "target": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, - "vertices": [], - "size": 13 + "vertices": [] }, { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" }, "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" }, - "vertices": [] + "vertices": [], + "size": 26 }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "b528263e-f7ac-434f-b590-7de7a093d85c" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" }, - "vertices": [] + "vertices": [], + "size": 26 } ] } } }, - "322ac6e3834f854f1ff8aaea07062751a7577cbf": { + "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { "package": { - "name": "Registro-12bits-system", + "name": "split-1-25-bus", "version": "0.1", - "description": "Registro de 12 bits", + "description": "Split the 26bits into 1 bits an a 25-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 120, - "y": 192 - } - }, - { - "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", "type": "basic.output", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "name": "o1" }, "position": { - "x": 632, - "y": 248 + "x": 584, + "y": 144 } }, { - "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", + "name": "i", + "range": "[25:0]", "clock": false, - "size": 12 + "size": 26 }, "position": { - "x": 120, - "y": 296 + "x": 136, + "y": 200 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "o0", + "range": "[24:0]", + "size": 25 }, "position": { - "x": 376, - "y": 56 + "x": 592, + "y": 248 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "localparam N = 12;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o1 = i[25];\nassign o0 = i[24:0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "d", - "range": "[11:0]", - "size": 12 + "name": "i", + "range": "[25:0]", + "size": 26 } ], "out": [ { - "name": "q", - "range": "[11:0]", - "size": 12 + "name": "o1" + }, + { + "name": "o0", + "range": "[24:0]", + "size": 25 } ] } }, "position": { - "x": 280, + "x": 296, "y": 176 }, "size": { - "width": 288, - "height": 200 + "width": 208, + "height": 112 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" + } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", "port": "in" }, - "size": 12 + "size": 25 }, { "source": { - "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 12 + "size": 26 } ] } } }, - "29c9a45c6996a3804d02693a6f8a8daf6a157256": { + "88097a55204933bef05c6297042b1543bf8e94a9": { "package": { - "name": "sum-2op-12bits", + "name": "contant-division", "version": "0.1", - "description": "Sumador de dos operandos de 12 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Calculate a new constant value dividing k1 / k2", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588415989667 }, "design": { "graph": { "blocks": [ { - "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "type": "basic.input", + "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "type": "basic.output", "data": { "name": "", - "range": "[11:0]", + "range": "[25:0]", "pins": [ { - "index": "11", + "index": "25", "name": "", "value": "" }, { - "index": "10", + "index": "24", "name": "", "value": "" }, { - "index": "9", + "index": "23", "name": "", "value": "" }, { - "index": "8", + "index": "22", "name": "", "value": "" }, { - "index": "7", + "index": "21", "name": "", "value": "" }, { - "index": "6", + "index": "20", "name": "", "value": "" }, { - "index": "5", + "index": "19", "name": "", "value": "" }, { - "index": "4", + "index": "18", "name": "", "value": "" }, { - "index": "3", + "index": "17", "name": "", "value": "" }, { - "index": "2", + "index": "16", "name": "", "value": "" }, { - "index": "1", + "index": "15", "name": "", "value": "" }, { - "index": "0", + "index": "14", "name": "", "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 224, - "y": 176 - } - }, - { - "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "type": "basic.output", - "data": { - "name": "", - "range": "[12:0]", - "pins": [ + }, + { + "index": "13", + "name": "", + "value": "" + }, { "index": "12", "name": "", @@ -2078,983 +1905,905 @@ "virtual": false }, "position": { - "x": 672, - "y": 192 + "x": 856, + "y": 104 } }, { - "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "type": "basic.input", + "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "type": "basic.constant", "data": { - "name": "", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "k1", + "value": "12", + "local": false }, "position": { - "x": 224, - "y": 248 + "x": 424, + "y": -24 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "type": "basic.constant", + "data": { + "name": "k2", + "value": "12", + "local": false + }, + "position": { + "x": 624, + "y": -32 + } + }, + { + "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", "type": "basic.code", "data": { - "code": "assign s = a + b;", - "params": [], + "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", + "params": [ + { + "name": "K1" + }, + { + "name": "K2" + } + ], "ports": { - "in": [ - { - "name": "a", - "range": "[11:0]", - "size": 12 - }, - { - "name": "b", - "range": "[11:0]", - "size": 12 - } - ], + "in": [], "out": [ { - "name": "s", - "range": "[12:0]", - "size": 13 + "name": "k", + "range": "[25:0]", + "size": 26 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 368, + "y": 80 }, "size": { - "width": 216, - "height": 64 + "width": 408, + "height": 104 } } ], "wires": [ { "source": { - "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "port": "out" + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "k" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "port": "in" }, - "size": 12 + "size": 26 }, { "source": { - "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "port": "out" + "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "port": "constant-out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 12 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K1" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "port": "constant-out" }, "target": { - "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "port": "in" - }, - "size": 13 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K2" + } } ] } } }, - "117c154c9710e7538dc4149a2241691940cc4a09": { + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { "package": { - "name": "split-1-12-bus", - "version": "0.1", - "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", "type": "basic.output", "data": { - "name": "o1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": false + "name": "nc" }, "position": { - "x": 584, - "y": 144 + "x": 840, + "y": 160 } }, { - "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "type": "basic.input", "data": { - "name": "i", - "range": "[12:0]", - "pins": [ - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "", "clock": false }, "position": { - "x": 144, - "y": 200 + "x": 152, + "y": 280 } }, { - "id": "d3293383-456c-471c-974d-342cc97e652b", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "type": "basic.output", "data": { - "name": "o0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "" }, "position": { - "x": 576, - "y": 240 + "x": 840, + "y": 400 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", "data": { - "code": "assign o1 = i[12];\nassign o0 = i[11:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[12:0]", - "size": 13 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 - } - ] - } + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 176, + "y": -16 }, "size": { - "width": 208, - "height": 112 + "width": 568, + "height": 80 } - } - ], - "wires": [ + }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "ecf75200-3425-42bf-b093-a4b731cadab6", - "port": "out" + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "position": { + "x": 168, + "y": 120 }, - "size": 13 + "size": { + "width": 96, + "height": 48 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true }, - "target": { - "block": "d3293383-456c-471c-974d-342cc97e652b", - "port": "in" + "position": { + "x": 328, + "y": 456 }, - "size": 12 - } - ] - } - } - }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { - "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 168, + "height": 48 + } + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { - "x": 192, - "y": 136 + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", "data": { - "name": "" + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true }, "position": { - "x": 680, - "y": 184 + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true }, "position": { - "x": 192, - "y": 232 + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 456, - "y": 64 + "x": 552, + "y": 280 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 384, - "y": 168 + "x": 320, + "y": 264 }, "size": { - "width": 232, - "height": 88 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" } } ] } } }, - "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "Constante-8bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 960, - "y": 248 + "x": 112, + "y": 72 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "" }, "position": { - "x": 728, - "y": 128 + "x": 560, + "y": 72 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a" + } + ], "out": [ { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "q" } ] } }, "position": { - "x": 672, - "y": 248 + "x": 256, + "y": 48 }, "size": { - "width": 208, - "height": 64 + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 } } ], "wires": [ { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" } }, { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, "target": { - "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 8 + } } ] } } }, - "359a555a147b0afd9d84e4a720ec84b7cdfbc034": { + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { "package": { - "name": "Mux 2 a 1 de 8 bits", - "version": "0.0.1", - "description": "Multiplexor de 2 a 1 de 8 bits", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "9f5a1c91-1b93-4609-877c-816ed8fd0871", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "" }, "position": { - "x": -704, - "y": -88 + "x": 96, + "y": 56 } }, { - "id": "325fbba1-e929-4921-a644-95f918e6e4ee", - "type": "basic.input", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "i0", - "range": "[7:0]", - "clock": false, - "size": 8 - }, - "position": { - "x": -704, - "y": 0 - } - }, - { - "id": "bf25756a-65a4-4b09-915d-494010d6f4ff", - "type": "basic.output", - "data": { - "name": "o", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": -48, - "y": 0 + "x": 600, + "y": 96 } }, { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "sel", - "clock": false + "name": "" }, "position": { - "x": -704, - "y": 88 + "x": 96, + "y": 128 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "//-- Multiplexor de 2 a 1, \n//-- de 8 bits\n\nreg [7:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[7:0]", - "size": 8 + "name": "a" }, { - "name": "sel" + "name": "b" } ], "out": [ { - "name": "o", - "range": "[7:0]", - "size": 8 + "name": "c" } ] } }, "position": { - "x": -464, - "y": -104 + "x": 256, + "y": 48 }, "size": { "width": 304, - "height": 272 + "height": 152 } } ], "wires": [ { "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "325fbba1-e929-4921-a644-95f918e6e4ee", - "port": "out" - }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 8 - }, - { - "source": { - "block": "9f5a1c91-1b93-4609-877c-816ed8fd0871", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" - }, - "size": 8 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } }, { "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "bf25756a-65a4-4b09-915d-494010d6f4ff", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 8 + } } ] } } }, - "5124dff3f8dd174c4eadfa302b77435826215918": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "Corazon_ms", - "version": "0.1", - "description": "Bombear 1 bit con el periodo especificado en el parámetro (en ms). Por defecto el periodo es de 100 ms", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22173.749%22%20height=%22156.392%22%20viewBox=%220%200%20162.89028%20146.61832%22%3E%3Cpath%20d=%22M78.495%20143.399c-2.574-4.43-6.565-8.766-14.127-15.35-4.096-3.566-6.588-5.546-20.775-16.506-11.12-8.593-16.668-13.36-23.098-19.847C14.07%2085.208%2010.293%2080.04%207.052%2073.303%204.984%2069%203.562%2064.854%202.676%2060.547c-1.123-5.472-1.275-7.324-1.27-15.382.008-10.573.357-12.332%203.828-19.385%202.58-5.239%204.54-7.997%208.62-12.141%203.963-4.022%206.536-5.85%2012.1-8.6%206.18-3.057%2010.65-3.859%2019.86-3.562%207.157.232%209.776.943%2015.45%204.208%208.929%205.138%2015.858%2013.387%2017.776%2021.162.313%201.27.636%202.312.719%202.312.082%200%20.805-1.487%201.606-3.305%202.727-6.179%205.26-9.95%209.284-13.828%2012.32-11.88%2031.744-14.027%2047.45-5.25%206.414%203.584%2011.633%208.802%2015.676%2015.675%203.184%205.41%204.812%2012.595%205.09%2022.464.402%2014.298-2.214%2024.207-9.174%2034.766-2.763%204.191-4.806%206.73-8.638%2010.73-6.182%206.458-11.758%2011.205-24.92%2021.216-8.307%206.317-13.23%2010.378-20.355%2016.8-5.71%205.143-14.558%2014.1-15.41%2015.596-.372.655-.71%201.19-.749%201.19-.039%200-.544-.816-1.124-1.814z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%222.813%22/%3E%3Ctext%20y=%22136.183%22%20x=%22114.12%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2253.914%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22136.183%22%20x=%22114.12%22%20font-weight=%22700%22%20font-size=%2230.808%22%3Ems%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "70887b0b-826c-4150-a873-605b77da8272", + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 560, - "y": 128 + "x": 816, + "y": 112 } }, { - "id": "4656865c-bcf1-4668-8e13-9221e32222d3", + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": -296, - "y": 128 + "x": 208, + "y": 184 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 232 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 280 } }, { - "id": "319cdca8-e777-4c8b-88ca-f885ba96ad06", + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", "type": "basic.constant", "data": { "name": "", - "value": "100", + "value": "0", "local": false }, "position": { - "x": 112, - "y": -224 + "x": 512, + "y": 64 } }, { - "id": "7e864797-b165-408f-a9ce-2e71dc63f8b8", + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", "type": "basic.code", "data": { - "code": "\n//parameter HZ=1;\n\n//-- Constante para dividir y obtener una frecuencia de 1Hz\nlocalparam M = 12000*MS;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Registro del divisor\nreg [N-1:0] divcounter;\n\n//-- Temporal clock\nreg clk_t = 0;\n\n//-- Se usa un contador modulo M/2 para luego\n//-- pasarlo por un biestable T y dividir la frecuencia\n//-- entre 2, para que el ciclo de trabajo sea del 50%\nalways @(posedge clk)\n if (divcounter == M/2) begin\n clk_t <= 1;\n divcounter = 0;\n end \n else begin\n divcounter <= divcounter + 1;\n clk_t = 0;\n end \n \nreg clk_o = 0; \n \n//-- Biestable T para obtener ciclo de trabajo del 50%\nalways @(posedge clk)\n if (clk_t)\n clk_o <= ~clk_o;\n", + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", "params": [ { - "name": "MS" + "name": "INI" } ], "ports": { "in": [ { "name": "clk" + }, + { + "name": "d" } ], "out": [ { - "name": "clk_o" + "name": "q" } ] } }, "position": { - "x": -104, - "y": -104 + "x": 384, + "y": 168 + }, + "size": { + "width": 352, + "height": 192 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 }, "size": { - "width": 528, - "height": 528 + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 } } ], "wires": [ { "source": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk_o" + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" }, "target": { - "block": "70887b0b-826c-4150-a873-605b77da8272", - "port": "in" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" } }, { "source": { - "block": "4656865c-bcf1-4668-8e13-9221e32222d3", - "port": "out" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk" - }, - "vertices": [] + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } }, { "source": { - "block": "319cdca8-e777-4c8b-88ca-f885ba96ad06", + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", "port": "constant-out" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "MS" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" } } ] } } }, - "b874a1c418f63978103a0af886f5f5a730f47061": { + "796c3e94f671052cf01acc3e9dc67782339a169e": { "package": { - "name": "Generic-comp", - "version": "0.1", - "description": "Componente genérico", + "name": "26-bits-accumulator", + "version": "0.2", + "description": "26-bits accumulator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588419319540 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 }, "design": { "graph": { "blocks": [ { - "id": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 112, - "y": -160 + "x": 48, + "y": 40 } }, { - "id": "3c21d0b8-1500-4302-8605-4d6ed5629399", + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { - "x": 256, - "y": -160 + "x": 192, + "y": 40 } }, { - "id": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "type": "basic.outputLabel", + "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 840, - "y": 128 + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 1000, + "y": 136 } }, { - "id": "a9b6abeb-3c2a-4177-81bb-264e0469a780", + "id": "39c91753-cc29-4676-9a34-24470df724b7", "type": "basic.outputLabel", "data": { "blockColor": "yellow", @@ -3062,65 +2811,58 @@ "oldBlockColor": "yellow" }, "position": { - "x": 456, - "y": 176 + "x": 264, + "y": 192 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "type": "basic.outputLabel", "data": { - "name": "" + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 1160, - "y": 192 + "x": 256, + "y": 256 } }, { - "id": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "type": "basic.constant", + "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "type": "basic.inputLabel", "data": { - "name": "FULL_SCALE", - "value": "2**26", - "local": true + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 152, - "y": 112 + "x": 824, + "y": 272 } }, { - "id": "608882f4-bffa-4cac-940f-99f60d98ad53", - "type": "basic.constant", + "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "type": "basic.input", "data": { - "name": "sys", - "value": "12", - "local": false + "name": "inc", + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 304, - "y": 112 - } - }, - { - "id": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 992, - "y": 192 - }, - "size": { - "width": 96, - "height": 64 + "x": 16, + "y": 376 } }, { - "id": "cc596b64-394a-42a6-8d89-6c3835af332b", - "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", + "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", "position": { - "x": 800, - "y": 224 + "x": 648, + "y": 272 }, "size": { "width": 96, @@ -3128,441 +2870,385 @@ } }, { - "id": "67203b56-8451-47ba-959a-75491b1726b7", - "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "id": "1df42869-92b9-4d52-9f23-8c11c353e787", + "type": "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6", "position": { - "x": 256, + "x": 432, "y": 240 }, "size": { "width": 96, "height": 64 } - }, - { - "id": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "type": "81a70a6cf9e19133ae28596244673dd8b334975a", - "position": { - "x": 584, - "y": 224 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "32f7ed09-9081-4108-bb42-b2a237a9f44f", - "type": "basic.info", - "data": { - "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", - "readonly": true - }, - "position": { - "x": 256, - "y": 16 - }, - "size": { - "width": 376, - "height": 72 - } - }, - { - "id": "c08702d3-eaf3-4131-a428-78c06a027c37", - "type": "basic.info", - "data": { - "info": "## 1MHZ Heart", - "readonly": true - }, - "position": { - "x": 504, - "y": -200 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "303020b0-64ad-4fe4-ac69-6d2d657cae25", - "type": "basic.info", - "data": { - "info": "Constant value: \nk = Full_scale / Sys_clock", - "readonly": true - }, - "position": { - "x": 360, - "y": 288 - }, - "size": { - "width": 224, - "height": 64 - } - }, - { - "id": "b3ce1313-5336-44c8-854a-87ac1797e696", - "type": "basic.info", - "data": { - "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", - "readonly": true - }, - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 336, - "height": 56 - } - }, - { - "id": "ff952d5a-c406-4ca7-9cb8-1a31ac75f4f6", - "type": "basic.info", - "data": { - "info": "The output is a tic", - "readonly": true - }, - "position": { - "x": 1016, - "y": 144 - }, - "size": { - "width": 184, - "height": 48 - } } ], "wires": [ { "source": { - "block": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", "port": "out" }, "target": { - "block": "3c21d0b8-1500-4302-8605-4d6ed5629399", + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "port": "outlabel" - }, - "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" - } - }, - { - "source": { - "block": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", + "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", "port": "outlabel" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "4196184b-4a60-493b-bcc6-c95958483683" - } - }, - { - "source": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" - }, - "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "554e0534-d5da-4c8a-a78e-182a3698839e", + "size": 26 }, - "vertices": [] + "size": 26 }, { "source": { - "block": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "port": "constant-out" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "size": 26 }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "56066111-a701-4e2c-b64c-aeed7831cf00" + "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "port": "inlabel" }, - "vertices": [] + "size": 26 }, { "source": { - "block": "608882f4-bffa-4cac-940f-99f60d98ad53", - "port": "constant-out" + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" - }, - "vertices": [] + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "ddb7faf8-5783-464a-806d-e7cb3e38683b" + } }, { "source": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" }, "target": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "0c88add0-cbf5-4d14-967b-417400538a29" }, "vertices": [], "size": 26 }, { "source": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "b528263e-f7ac-434f-b590-7de7a093d85c" + "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "port": "out" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" }, - "vertices": [], "size": 26 }, { "source": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", "port": "in" - } + }, + "size": 26 } ] } } }, - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { + "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { "package": { - "name": "Subida", + "name": "sum-2op-26bits", "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + "description": "24-bits adder with two operands", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4196184b-4a60-493b-bcc6-c95958483683", + "id": "0c88add0-cbf5-4d14-967b-417400538a29", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 152, - "y": 176 + "x": 192, + "y": 144 } }, { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", + "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 624, - "y": 200 + "x": 672, + "y": 192 } }, { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", + "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", "type": "basic.input", "data": { "name": "", - "clock": false + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 152, - "y": 232 + "x": 192, + "y": 256 } }, { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", + "code": "assign s = a + b;", "params": [], "ports": { "in": [ { - "name": "clk" + "name": "a", + "range": "[25:0]", + "size": 26 }, { - "name": "i" + "name": "b", + "range": "[25:0]", + "size": 26 } ], "out": [ { - "name": "o" + "name": "s", + "range": "[25:0]", + "size": 26 } ] } }, "position": { - "x": 336, - "y": 176 + "x": 384, + "y": 192 }, "size": { - "width": 232, - "height": 112 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", + "block": "0c88add0-cbf5-4d14-967b-417400538a29", "port": "out" }, "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 26 }, { "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" + "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "port": "out" }, "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "port": "in" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 26 }, { "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" - } + "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "port": "in" + }, + "size": 26 } ] } } }, - "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { + "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6": { "package": { - "name": "split-1-25-bus", - "version": "0.1", - "description": "Split the 26bits into 1 bits an a 25-bits bus", + "name": "26-Sys-reg", + "version": "0.8", + "description": "26-Sys-reg: 26 bits system register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "e1a94202-b953-492e-98ef-7f33548e273b", "type": "basic.output", "data": { - "name": "o1" + "name": "nc" }, "position": { - "x": 584, + "x": 968, + "y": 48 + } + }, + { + "id": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 384, + "y": 88 + } + }, + { + "id": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 968, "y": 144 } }, { - "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "id": "554e0534-d5da-4c8a-a78e-182a3698839e", "type": "basic.input", "data": { - "name": "i", + "name": "", "range": "[25:0]", "clock": false, "size": 26 }, "position": { - "x": 136, + "x": 376, "y": 200 } }, { - "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "type": "basic.output", + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", "data": { - "name": "o0", - "range": "[24:0]", - "size": 25 + "name": "", + "value": "0", + "local": false }, "position": { - "x": 592, - "y": 248 + "x": 664, + "y": -48 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "a488e8ac-cd04-4656-9880-218437a4aba0", "type": "basic.code", "data": { - "code": "assign o1 = i[25];\nassign o0 = i[24:0];", - "params": [], "ports": { "in": [ { - "name": "i", + "name": "clk" + }, + { + "name": "d", "range": "[25:0]", "size": 26 } ], "out": [ { - "name": "o1" - }, - { - "name": "o0", - "range": "[24:0]", - "size": 25 + "name": "q", + "range": "[25:0]", + "size": 26 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 26;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n\n" }, "position": { - "x": 296, - "y": 176 + "x": 536, + "y": 64 }, "size": { - "width": 208, - "height": 112 + "width": 352, + "height": 224 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" }, "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "INI" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "q" }, "target": { - "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "block": "adfb2cfe-8521-4f06-ac72-3d200ba84185", "port": "in" }, - "size": 25 + "size": 26 }, { "source": { - "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "block": "ddb7faf8-5783-464a-806d-e7cb3e38683b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "clk" + } + }, + { + "source": { + "block": "554e0534-d5da-4c8a-a78e-182a3698839e", + "port": "out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "d" }, "size": 26 } @@ -3570,509 +3256,458 @@ } } }, - "88097a55204933bef05c6297042b1543bf8e94a9": { + "4aa649ed9a98770b6b495b76e13c8991f2ada2f8": { "package": { - "name": "contant-division", - "version": "0.1", - "description": "Calculate a new constant value dividing k1 / k2", + "name": "Simplified-Heart-ms-32bits", + "version": "0.3", + "description": "Simplified-Heart-ms-sys-32bits: Nominal periodic signal in mili seconds", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588415989667 + "image": "%3Csvg%20width=%22244.983%22%20height=%22223.683%22%20viewBox=%220%200%2064.818328%2059.182739%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M31.726%2058.436c-1.06-1.822-2.702-3.607-5.814-6.317-1.686-1.467-2.711-2.282-8.55-6.793-4.577-3.536-6.86-5.498-9.506-8.168-2.644-2.67-4.199-4.797-5.532-7.57-.852-1.77-1.437-3.476-1.801-5.249C.06%2022.087-.002%2021.325%200%2018.01c.003-4.352.147-5.076%201.575-7.979%201.062-2.155%201.869-3.29%203.548-4.996%201.631-1.655%202.69-2.407%204.98-3.54C12.645.237%2014.485-.093%2018.275.03c2.945.095%204.023.388%206.358%201.732%203.675%202.114%206.527%205.509%207.316%208.709.129.523.262.951.296.951.034%200%20.331-.612.66-1.36%201.123-2.543%202.166-4.095%203.822-5.69%205.07-4.89%2013.064-5.774%2019.528-2.162%202.64%201.475%204.787%203.623%206.451%206.452%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.307-1.136%201.725-1.977%202.77-3.554%204.416-2.545%202.658-4.84%204.612-10.257%208.732-3.418%202.6-5.444%204.271-8.377%206.914-2.35%202.117-5.99%205.802-6.341%206.419-.154.269-.292.489-.308.489-.017%200-.225-.336-.463-.747z%22%20fill=%22red%22/%3E%3C/svg%3E", + "otid": 1669286572094 }, "design": { "graph": { "blocks": [ { - "id": "b528263e-f7ac-434f-b590-7de7a093d85c", - "type": "basic.output", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", "data": { "name": "", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "clock": true }, "position": { - "x": 856, - "y": 104 + "x": -72, + "y": -320 } }, { - "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 56, + "y": -320 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 992, + "y": -320 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": -320 + } + }, + { + "id": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 424, + "y": -176 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 728, + "y": -152 + } + }, + { + "id": "f8df038b-f5e0-46f5-9450-173b01f03457", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1008, + "y": -96 + } + }, + { + "id": "3a1ef085-fad3-4ba9-93ca-8322601348f3", "type": "basic.constant", "data": { - "name": "k1", - "value": "12", + "name": "F", + "value": "12_000_000", "local": false }, "position": { - "x": 424, - "y": -24 + "x": -32, + "y": -160 } }, { - "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "id": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", "type": "basic.constant", "data": { - "name": "k2", - "value": "12", + "name": "ms", + "value": "1", "local": false }, "position": { - "x": 624, - "y": -32 + "x": 80, + "y": -160 } }, { - "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "type": "basic.code", + "id": "1f13e77c-a957-4465-930f-e69ef9749b35", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 208, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "type": "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99", + "position": { + "x": 352, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "15a62858-6404-4eee-b2b1-063c51984061", + "type": "basic.info", "data": { - "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", - "params": [ - { - "name": "K1" - }, - { - "name": "K2" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[25:0]", - "size": 26 - } - ] - } + "info": "Dividir entre dos el periodo \n(Multiplicar por 2 la frecuencia) ", + "readonly": true }, "position": { - "x": 368, - "y": 80 + "x": 264, + "y": 8 }, "size": { - "width": 408, - "height": 104 + "width": 368, + "height": 56 + } + }, + { + "id": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 56, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "type": "9cd840b50a80f25715b49324ba45c2e978fbc412", + "position": { + "x": 568, + "y": -128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "type": "b7f7136a87c607769a64233fd99bc12818a05746", + "position": { + "x": 864, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "k" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "port": "outlabel" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f8df038b-f5e0-46f5-9450-173b01f03457", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" + } + }, + { + "source": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "size": 26 + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" + }, + "vertices": [] }, { "source": { - "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "02680a82-d9bd-41b7-8c08-990091e7d2f2" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "a95e724c-148d-4ea1-b6e5-5186c82520df" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "8b0a8a99-fd6c-41b8-826a-52f35e572df2" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "1f13e77c-a957-4465-930f-e69ef9749b35", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "79b18de5-9152-4bdb-8059-3dcf8efa92dc" + }, + "vertices": [] + }, + { + "source": { + "block": "3a1ef085-fad3-4ba9-93ca-8322601348f3", "port": "constant-out" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K1" + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" } }, { "source": { - "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "block": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", "port": "constant-out" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K2" + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" } } ] } } }, - "81a70a6cf9e19133ae28596244673dd8b334975a": { + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { "package": { - "name": "26-bits-accumulator", - "version": "0.1", - "description": "26-bits accumulator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "type": "basic.input", + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "" }, "position": { - "x": 48, - "y": 40 + "x": 456, + "y": 120 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 192, - "y": 40 + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", - "type": "basic.output", - "data": { - "name": "", - "range": "[25:0]", - "size": 26 + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" }, - "position": { - "x": 1000, - "y": 136 + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" } - }, + } + ] + } + } + }, + "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99": { + "package": { + "name": "SR1-32bits", + "version": "0.1", + "description": "SR1-32bits: Shift a 32-bit value one bit right. MSB is filled with the input in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22132.487%22%20viewBox=%220%200%20280.28048%20124.20677%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-228.395)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22none%22%20stroke-width=%221.65%22%20stroke=%22green%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M4.534%201.47l40.643-.064v50.43M274.981%20122.738l-63.82.063V72.37%22%20stroke-width=%222.813217%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", + "id": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "in", + "clock": false }, "position": { - "x": 280, - "y": 208 + "x": 216, + "y": 280 } }, { - "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "type": "basic.inputLabel", + "id": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 824, - "y": 272 + "x": 808, + "y": 296 } }, { - "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "type": "basic.outputLabel", + "id": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "size": 26 + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 272, - "y": 272 + "x": 224, + "y": 392 } }, { - "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "type": "basic.input", + "id": "390a8028-5755-42e1-8173-5d973fb17d9b", + "type": "basic.output", "data": { - "name": "inc", - "range": "[25:0]", - "clock": false, - "size": 26 + "name": "out" }, "position": { - "x": 16, - "y": 376 + "x": 808, + "y": 408 } }, { - "id": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "type": "335f07bcdf418bb67136b63c8cfc389233bbc50d", + "id": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "type": "ecd30a800a660ad1e59f783099570f517b0d6cfe", "position": { - "x": 448, - "y": 256 + "x": 416, + "y": 392 }, "size": { "width": 96, @@ -4080,11 +3715,11 @@ } }, { - "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "id": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "type": "51b3c0c2868d4b8251891192d15f65348a99fe97", "position": { - "x": 648, - "y": 272 + "x": 616, + "y": 296 }, "size": { "width": 96, @@ -4095,1169 +3730,844 @@ "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "block": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", "port": "out" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" }, - "vertices": [] + "size": 32 }, { "source": { - "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "port": "outlabel" + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "893af5e6-8636-4eb0-9db0-2b2cc10feffe" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "size": 26 - }, - "size": 26 + "block": "390a8028-5755-42e1-8173-5d973fb17d9b", + "port": "in" + } }, { "source": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "size": 26 + "block": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "port": "out" }, "target": { - "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "port": "inlabel" - }, - "size": 26 - }, - { - "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" - }, - "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae" } }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" - }, - "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "0c88add0-cbf5-4d14-967b-417400538a29" - }, - "vertices": [], - "size": 26 - }, - { - "source": { - "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "port": "out" + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "fcdf42fb-4c60-4831-a889-ae811cdabcbe" }, "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "bc768a7d-c014-42a7-a27a-f607b633630e" }, - "size": 26 + "size": 31 }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" }, "target": { - "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "block": "02680a82-d9bd-41b7-8c08-990091e7d2f2", "port": "in" }, - "size": 26 + "size": 32 } ] } } }, - "335f07bcdf418bb67136b63c8cfc389233bbc50d": { + "ecd30a800a660ad1e59f783099570f517b0d6cfe": { "package": { - "name": "26-bits-register", + "name": "Bus32-Split-31-1", "version": "0.1", - "description": "24-bits system register", + "description": "Bus32-Split-31-1: Split the 32-bits bus into two buses of 31 and 1 wires", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 88, - "y": 192 - } - }, - { - "id": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "id": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", "type": "basic.output", "data": { - "name": "", - "range": "[25:0]", - "size": 26 + "name": "1", + "range": "[30:0]", + "size": 31 }, "position": { - "x": 640, - "y": 248 + "x": 592, + "y": 176 } }, { - "id": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", "type": "basic.input", "data": { "name": "", - "range": "[25:0]", + "range": "[31:0]", "clock": false, - "size": 26 + "size": 32 }, "position": { - "x": 88, - "y": 296 + "x": 72, + "y": 208 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "0" }, "position": { - "x": 376, - "y": 56 + "x": 592, + "y": 232 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "localparam N = 26;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o1 = i[31:1];\nassign o0 = i[0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "d", - "range": "[25:0]", - "size": 26 + "name": "i", + "range": "[31:0]", + "size": 32 } ], "out": [ { - "name": "q", - "range": "[25:0]", - "size": 26 + "name": "o1", + "range": "[30:0]", + "size": 31 + }, + { + "name": "o0" } ] } }, "position": { - "x": 280, + "x": 272, "y": 176 }, "size": { - "width": 288, - "height": 200 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 32 }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "port": "in" } }, { "source": { - "block": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 26 - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "block": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", "port": "in" }, - "size": 26 + "size": 31 } ] } } }, - "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { + "51b3c0c2868d4b8251891192d15f65348a99fe97": { "package": { - "name": "sum-2op-26bits", + "name": "Bus32-Join-1-31", "version": "0.1", - "description": "24-bits adder with two operands", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Bus32-Join-1-31: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "0c88add0-cbf5-4d14-967b-417400538a29", + "id": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", "type": "basic.input", "data": { - "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 + "name": "0", + "clock": false }, "position": { - "x": 192, - "y": 144 + "x": 112, + "y": 112 } }, { - "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "size": 26 + "range": "[31:0]", + "size": 32 }, "position": { - "x": 672, - "y": 192 + "x": 648, + "y": 200 } }, { - "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "id": "bc768a7d-c014-42a7-a27a-f607b633630e", "type": "basic.input", "data": { - "name": "", - "range": "[25:0]", + "name": "1", + "range": "[30:0]", "clock": false, - "size": 26 + "size": 31 }, "position": { - "x": 192, - "y": 256 + "x": 112, + "y": 224 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign s = a + b;", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[25:0]", - "size": 26 + "name": "i1" }, { - "name": "b", - "range": "[25:0]", - "size": 26 + "name": "i0", + "range": "[30:0]", + "size": 31 } ], "out": [ { - "name": "s", - "range": "[25:0]", - "size": 26 + "name": "o", + "range": "[31:0]", + "size": 32 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 304, + "y": 176 }, "size": { - "width": 216, - "height": 64 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "0c88add0-cbf5-4d14-967b-417400538a29", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" }, - "size": 26 + "size": 32 }, { "source": { - "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "block": "bc768a7d-c014-42a7-a27a-f607b633630e", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "size": 26 + "size": 31 }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "port": "out" }, "target": { - "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "port": "in" - }, - "size": 26 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } } ] } } }, - "772f42d85230a43faf163474e79bd25bdd1f2aba": { + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { "package": { - "name": "24-bits-accumulator CLONE", + "name": "Unit-ms-32bits", "version": "0.1", - "description": "24-bits accumulator", + "description": "Unit-ms-32bits: ms to cycles converter", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "type": "basic.input", + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "n", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 48, - "y": 40 + "x": 952, + "y": 496 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "F", + "value": "12000000", + "local": false }, "position": { - "x": 192, - "y": 40 + "x": 520, + "y": 344 } }, { - "id": "bf631fb2-9575-442d-ae82-71dd0be21888", - "type": "basic.output", + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "name": "ms", + "value": "1", + "local": false }, "position": { - "x": 680, - "y": 152 + "x": 720, + "y": 344 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "info": "Input parameter: Milieconds ", + "readonly": true }, "position": { - "x": 312, - "y": 176 + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 } }, { - "id": "ca7c2d52-5293-4143-9758-468e17d643c2", - "type": "basic.outputLabel", + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", "data": { - "name": "next", - "range": "[23:0]", - "blockColor": "fuchsia", - "size": 24 + "info": "Input parameter: \nSystem Frequency", + "readonly": true }, "position": { - "x": 280, - "y": 256 - } - }, + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 + } + }, { - "id": "77d4f680-5d59-458b-8b56-69633057a69c", - "type": "basic.inputLabel", + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", "data": { - "name": "next", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ { - "index": "1", - "name": "", - "value": "" + "name": "F" }, { - "index": "0", - "name": "", - "value": "" + "name": "MS" } ], - "virtual": true, - "blockColor": "fuchsia" - }, - "position": { - "x": 824, - "y": 272 - } - }, - { - "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "type": "basic.input", - "data": { - "name": "inc", - "range": "[15:0]", - "clock": false, - "size": 16 - }, - "position": { - "x": 48, - "y": 336 - } - }, - { - "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "type": "basic.input", - "data": { - "name": "add", - "clock": false - }, - "position": { - "x": 48, - "y": 416 - } - }, - { - "id": "a65b6302-05d5-4df6-b308-44ec4b939901", - "type": "380890f86e3a886844470fb5c8431265046f197c", - "position": { - "x": 648, - "y": 272 + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "type": "c71699e00fcda7699d0368eb0de247bbddbcd395", "position": { - "x": 448, - "y": 240 + "x": 472, + "y": 472 }, "size": { - "width": 96, - "height": 96 + "width": 392, + "height": 112 } } ], "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "port": "out" + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" }, "vertices": [] }, { "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" - }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "size": 24 - }, - "target": { - "block": "77d4f680-5d59-458b-8b56-69633057a69c", - "port": "inlabel" - }, - "size": 24 - }, - { - "source": { - "block": "ca7c2d52-5293-4143-9758-468e17d643c2", - "port": "outlabel" - }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "size": 24 - }, - "size": 24 - }, - { - "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" - }, - "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" - }, - "size": 24 - }, - { - "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" }, "target": { - "block": "bf631fb2-9575-442d-ae82-71dd0be21888", + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", "port": "in" }, - "size": 24 + "size": 32 }, { "source": { - "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "port": "out" + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" } - }, - { - "source": { - "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "port": "out" - }, - "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "a8a28134-418c-49be-9550-f546432bebc9" - }, - "size": 16 } ] } } }, - "380890f86e3a886844470fb5c8431265046f197c": { + "9cd840b50a80f25715b49324ba45c2e978fbc412": { "package": { - "name": "sum-24-16->24bits", - "version": "0.1", - "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Heart-sys-zero-32bits", + "version": "0.2", + "description": "Heart-sys-zero-32bits: Generate a periodic signal of period T cycles. It starts at cycle 0. It never stops", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22267.83%22%20height=%22235.568%22%20viewBox=%220%200%2070.863319%2062.327419%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-49.44%20-45.386)%22%3E%3Cpath%20d=%22M82.767%20103.823c-1.059-1.823-2.701-3.608-5.813-6.317-1.686-1.468-2.712-2.283-8.55-6.794-4.577-3.536-6.86-5.497-9.506-8.167-2.645-2.67-4.2-4.797-5.533-7.57-.851-1.77-1.436-3.477-1.8-5.25-.463-2.252-.526-3.014-.523-6.33.003-4.352.146-5.075%201.575-7.978%201.062-2.156%201.868-3.291%203.548-4.997%201.63-1.655%202.69-2.407%204.979-3.539%202.544-1.258%204.384-1.588%208.174-1.466%202.945.095%204.023.388%206.358%201.732%203.675%202.115%206.526%205.51%207.316%208.709.129.523.262.952.295.952.034%200%20.332-.612.662-1.36%201.122-2.544%202.164-4.095%203.82-5.692%205.07-4.889%2013.065-5.772%2019.528-2.16%202.64%201.475%204.788%203.622%206.452%206.451%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.308-1.137%201.725-1.978%202.77-3.555%204.416-2.544%202.658-4.839%204.611-10.256%208.731-3.418%202.6-5.445%204.271-8.377%206.914-2.35%202.117-5.991%205.803-6.342%206.419-.153.27-.292.49-.308.49-.016%200-.224-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%2028.715%2037.275)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.89397%200%200%20.89397%20106.937%20-105.28)%22%20stroke-width=%221.119%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.59%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.732%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20width=%2235.828%22%20height=%2219.351%22%20x=%2264.354%22%20y=%2261.538%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 }, "design": { "graph": { "blocks": [ { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "clock": true }, "position": { - "x": 336, - "y": 136 + "x": 96, + "y": -168 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "type": "basic.output", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 680, - "y": 192 + "x": 224, + "y": -168 } }, { - "id": "a8a28134-418c-49be-9550-f546432bebc9", - "type": "basic.input", + "id": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "type": "basic.output", "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 + "name": "busy" }, "position": { - "x": 48, - "y": 272 + "x": 1216, + "y": -168 } }, { - "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "type": "0b81b8e1e01d92b35ab459001f261115129544e8", - "position": { - "x": 320, - "y": 256 + "id": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1074, + "y": -168 } }, { - "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", - "position": { - "x": 144, - "y": 208 + "id": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1216, + "y": -40 } }, { - "id": "899734d5-1063-4268-9135-20b5aff4c0fb", - "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", - "position": { - "x": 504, - "y": 192 + "id": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1072, + "y": -40 } - } - ], - "wires": [ - { - "source": { - "block": "a8a28134-418c-49be-9550-f546432bebc9", - "port": "out" - }, - "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" - }, - "size": 16 }, { - "source": { - "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" - }, - "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" + "id": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" }, - "size": 8 + "position": { + "x": 832, + "y": -40 + } }, { - "source": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" - }, - "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "port": "in" + "id": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "type": "basic.input", + "data": { + "name": "T", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "size": 24 + "position": { + "x": 96, + "y": -8 + } }, { - "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", - "port": "out" - }, - "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 }, - "size": 24 + "position": { + "x": 224, + "y": -8 + } }, { - "source": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" - }, - "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": 24 - } - ] - } - } - }, - "0b81b8e1e01d92b35ab459001f261115129544e8": { - "package": { - "name": "Agregador-8-16-bus", - "version": "0.1", - "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 552, + "y": 0 + } + }, { - "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", - "type": "basic.input", + "id": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "type": "basic.inputLabel", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 }, "position": { - "x": 112, - "y": 144 + "x": 872, + "y": 24 } }, { - "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "o", - "range": "[23:0]", - "size": 24 + "name": "out" }, "position": { - "x": 608, - "y": 200 + "x": 1216, + "y": 72 } }, { - "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", - "type": "basic.input", + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", "data": { - "name": "i0", - "range": "[15:0]", - "clock": false, - "size": 16 + "name": "out", + "blockColor": "fuchsia" }, "position": { - "x": 128, - "y": 288 + "x": 1072, + "y": 72 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o", - "range": "[23:0]", - "size": 24 - } - ] - } + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 }, "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 224, - "height": 112 + "x": 488, + "y": 80 } - } - ], - "wires": [ + }, { - "source": { - "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "id": "89e11527-8c3d-4bbf-9694-1159de958b40", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" }, - "size": 8 + "position": { + "x": 864, + "y": 112 + } }, { - "source": { - "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "id": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "size": 16 + "position": { + "x": 144, + "y": 224 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "id": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "type": "basic.inputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" }, - "target": { - "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", - "port": "in" - }, - "size": 24 - } - ] - } - } - }, - "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { - "package": { - "name": "Valor_0_8bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 8 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 816, + "y": 288 + } + }, { - "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", - "type": "basic.output", + "id": "815134a8-2814-476d-88c0-0d74da86efc4", + "type": "basic.outputLabel", "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "loop", + "blockColor": "darkgreen" }, "position": { - "x": 928, - "y": 256 + "x": 272, + "y": 304 } }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": true + "info": "Delay", + "readonly": true }, "position": { - "x": 728, - "y": 152 + "x": 712, + "y": 168 + }, + "size": { + "width": 72, + "height": 32 } }, { - "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", + "id": "01772f99-50e3-45ae-95b0-8bca41f89605", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", "position": { - "x": 728, - "y": 256 + "x": 408, + "y": 240 }, "size": { "width": 96, "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "port": "constant-out" + "id": "a6455dc0-2aad-4d56-8a09-4ebcaf8db425", + "type": "basic.info", + "data": { + "info": "Start a new period", + "readonly": true }, - "target": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "position": { + "x": 528, + "y": 232 + }, + "size": { + "width": 160, + "height": 32 } }, { - "source": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + "id": "8d4fd5c0-10c3-4dc8-b87e-e78b842e9ce0", + "type": "basic.info", + "data": { + "info": "Start at cycle 0!", + "readonly": true }, - "target": { - "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", - "port": "in" + "position": { + "x": 272, + "y": 184 }, - "size": 8 - } - ] - } - } - }, - "25e6ad7722c5f4707b002d30cf0f2111ba416525": { - "package": { - "name": "sum-2op-24bits", - "version": "0.1", - "description": "Sumador de dos operandos de 24bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 152, + "height": 40 + } + }, { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", - "type": "basic.input", + "id": "38f7b59d-a55f-446c-bbf2-60dfc32db5b5", + "type": "basic.info", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "info": "Repeat!", + "readonly": true }, "position": { - "x": 200, - "y": 136 + "x": 288, + "y": 368 + }, + "size": { + "width": 96, + "height": 40 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "type": "basic.output", + "id": "f401416a-89c7-48c4-a759-3a2780237e11", + "type": "basic.info", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "info": "Current period ended \nStart a new one", + "readonly": true }, "position": { - "x": 680, - "y": 192 + "x": 816, + "y": 240 + }, + "size": { + "width": 192, + "height": 56 } }, { - "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", - "type": "basic.input", + "id": "88d96c30-493c-4914-b0a4-19ffd91abacd", + "type": "basic.info", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "info": "Periodo (in system clock cycles)", + "readonly": true }, "position": { - "x": 208, - "y": 232 + "x": 240, + "y": -48 + }, + "size": { + "width": 264, + "height": 40 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", - "type": "basic.code", + "id": "b628b46a-1f69-485c-82cf-e48cdffb2fbe", + "type": "basic.info", "data": { - "code": "assign s = a + b;", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[23:0]", - "size": 24 - }, - { - "name": "b", - "range": "[23:0]", - "size": 24 - } - ], - "out": [ - { - "name": "s", - "range": "[23:0]", - "size": 24 - } - ] - } + "info": "Current cycle in the period \n(0-T-1)", + "readonly": true }, "position": { - "x": 384, - "y": 192 + "x": 1120, + "y": -80 }, "size": { - "width": 216, + "width": 232, + "height": 48 + } + }, + { + "id": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 680, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 272, + "y": 224 + }, + "size": { + "width": 96, "height": 64 } } @@ -5265,2224 +4575,12445 @@ "wires": [ { "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", - "port": "out" + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" + "block": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "port": "inlabel" }, - "size": 24 + "vertices": [ + { + "x": 800, + "y": 152 + } + ] }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "815134a8-2814-476d-88c0-0d74da86efc4", + "port": "outlabel" }, "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "port": "in" - }, - "size": 24 - } - ] - } - } - }, - "c71699e00fcda7699d0368eb0de247bbddbcd395": { - "package": { - "name": "Registro-24", - "version": "0.1-c1588350420371", - "description": "Registro de 24 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, - "position": { - "x": 80, - "y": 176 - } + "vertices": [] }, { - "id": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", - "type": "basic.output", - "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" }, - "position": { - "x": 632, - "y": 248 + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" } }, { - "id": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "type": "basic.input", - "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "source": { + "block": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "port": "out", + "size": 32 }, - "position": { - "x": 80, - "y": 248 + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "89e11527-8c3d-4bbf-9694-1159de958b40", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "port": "outlabel" + }, + "target": { + "block": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "size": 32 + }, + "target": { + "block": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "port": "outlabel" + }, + "target": { + "block": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "port": "in" + } + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "port": "inlabel" + } + }, + { + "source": { + "block": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "port": "outlabel" + }, + "target": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" } }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", + "source": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [] + }, + { + "source": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "load", - "clock": false + "name": "" }, "position": { - "x": 80, - "y": 312 + "x": 112, + "y": 40 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "" }, "position": { - "x": 376, - "y": 56 + "x": 608, + "y": 72 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "localparam N = 24;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "d", - "range": "[23:0]", - "size": 24 + "name": "a" }, { - "name": "load" + "name": "b" } ], "out": [ { - "name": "q", - "range": "[23:0]", - "size": 24 + "name": "c" } ] } }, "position": { - "x": 280, - "y": 176 + "x": 256, + "y": 48 }, "size": { - "width": 288, - "height": 200 + "width": 312, + "height": 104 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 24 - }, - { - "source": { - "block": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 24 + } } ] } } }, - "be3c9cd53a16ff19140224bb4472883b9eebb8fc": { + "e1fb385d657acff350e273a34472cf836d8701f2": { "package": { - "name": "tone_generator_pulse", - "version": "0.1", - "description": "Generate a squeare signal (pulse) from the timebase", + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20id=%22Ebene_1%22%20width=%22202.334%22%20height=%22161.714%22%20viewBox=%220%200%20202.33365%20161.71387%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path998%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-62%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-99%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321-3%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339-0%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1228%22/%3E%3Ccircle%20id=%22gpDot%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt4%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5%22%20id=%22gpPt6%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt8%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt9%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9%22%20id=%22gpPt10%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt11%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11%22%20id=%22gpPt12%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpDot-3%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0-6%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1-7%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2-5%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt4-5%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5-6%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5-6%22%20id=%22gpPt6-2%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7-9%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt8-1%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt9-2%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9-2%22%20id=%22gpPt10-7%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt11-0%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11-0%22%20id=%22gpPt12-9%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20r=%2236.696%22%20cy=%22123.902%22%20cx=%2237.811%22%20id=%22path1606%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22144.44%22%20y=%22144.225%22%20id=%22text849%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20id=%22tspan847%22%20x=%22144.44%22%20y=%22144.225%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3EPulse%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-163.768%22%20y=%22378.437%22%20id=%22text1419%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20d=%22M844.847%2091.713%22%20id=%22path2375%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M454.235-55.93699999999998%22%20id=%22path2399%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M519.337-55.93699999999998%22%20id=%22path2411%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M844.847-55.93699999999998%22%20id=%22path2471%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20id=%22path2487%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22graph%22%20d=%22M22.832%2066.689V1.413h42.953v65.276h38.981V1.413h42.952v65.276h42.387%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", - "otid": 1588447340837 + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 }, "design": { "graph": { "blocks": [ { - "id": "42537563-f4f5-4a36-abbb-3a8111a7cd98", - "type": "basic.input", + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", "data": { - "name": "amp", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "state", + "blockColor": "navy" }, "position": { - "x": 136, - "y": -128 + "x": 1104, + "y": 40 } }, { - "id": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "sample", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "busy" }, "position": { - "x": 944, - "y": -96 + "x": 1264, + "y": 40 } }, { - "id": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "id": "0124987f-7749-493e-84ef-1f03b5fca187", "type": "basic.input", "data": { - "name": "phase", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "", + "clock": true + }, + "position": { + "x": 112, + "y": 64 + } + }, + { + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 248, + "y": 64 + } + }, + { + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 80 + } + }, + { + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 144 + } + }, + { + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", + "data": { + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 152 + } + }, + { + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 240, + "y": 152 + } + }, + { + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 400, + "y": 208 + } + }, + { + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1264, + "y": 240 + } + }, + { + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 1112, + "y": 240 + } + }, + { + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": 248 + } + }, + { + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 288 + } + }, + { + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 568, + "y": 360 + } + }, + { + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1272, + "y": 376 + } + }, + { + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 1104, + "y": 376 + } + }, + { + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 912, + "y": 376 + } + }, + { + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 568, + "y": 424 + } + }, + { + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 912, + "y": 440 + } + }, + { + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 568, + "y": 496 + } + }, + { + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 112, + "y": 224 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true + }, + "position": { + "x": 112, + "y": 136 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true + }, + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" + }, + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" + } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 + } + ] + } + } + }, + "ee22aefdb40369d328e34626d38b009de330f96b": { + "package": { + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -24, + "y": -296 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 104, + "y": -296 + } + }, + { + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": -280 + } + }, + { + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1016, + "y": -280 + } + }, + { + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -24, + "y": -232 + } + }, + { + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -232 + } + }, + { + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1176, + "y": -208 + } + }, + { + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 1016, + "y": -208 + } + }, + { + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": -160 + } + }, + { + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", + "data": { + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -24, + "y": -160 + } + }, + { + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": -24, + "y": -96 + } + }, + { + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -96 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 16 + } + }, + { + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 304, + "y": 40 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 32, + "y": 136 + } + }, + { + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 32, + "y": 192 + } + }, + { + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": -32, + "y": 304 + } + }, + { + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 488 + } + }, + { + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 632, + "y": 8 + } + }, + { + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 424, + "y": 368 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "32-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 256 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, + "position": { + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, + "position": { + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", + "position": { + "x": 120, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", + "position": { + "x": 296, + "y": 424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" + }, + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } + }, + { + "source": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" + } + }, + { + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" + }, + "target": { + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 + }, + "target": { + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "port": "outlabel" + }, + "target": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 + }, + "target": { + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + } + }, + { + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 592, + "y": 288 + } + ], + "size": 32 + }, + { + "source": { + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 + } + ] + } + } + }, + "0906c20b5d8675f4f9b5706640957da9573692b5": { + "package": { + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 616, + "y": -88 + } + }, + { + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 232, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 144, + "y": -472 + } + }, + { + "id": "64015db2-163d-4858-966f-2f7282b187c9", + "type": "basic.outputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 784, + "y": -440 + } + }, + { + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" + }, + "target": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" + }, + "target": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { + "package": { + "name": "AdderC-4bits", + "version": "0.1", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -296, + "y": -168 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -648 + } + }, + { + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -408, + "y": -584 + } + }, + { + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 832, + "y": -480 + } + }, + { + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 248, + "y": -456 + } + }, + { + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -448 + } + }, + { + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -400, + "y": -392 + } + }, + { + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, + { + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 248, + "y": -376 + } + }, + { + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 840, + "y": -336 + } + }, + { + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 120, + "y": -304 + } + }, + { + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 120, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -392, + "y": -168 + } + }, + { + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -240, + "y": -584 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 + }, + { + "source": { + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" + }, + "target": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" + }, + "target": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 632, + "y": 200 + } + }, + { + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 592, + "y": 152 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 600, + "y": 128 + } + }, + { + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 96, + "y": 200 + } + }, + { + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 592, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 168 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "4ca7b72f-724d-435c-8490-645c338586db", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "306ca367fbbc5181b3c709d73f447e0710871a1d": { + "package": { + "name": "Bus16-Split-half", + "version": "0.1", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "type": "basic.output", + "data": { + "name": "1", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 176 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 208 + } + }, + { + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { + "package": { + "name": "Bus32-Join-8-24", + "version": "0.1", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", + "data": { + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 120, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 + } + ] + } + } + }, + "a63735be57457fe4a3aad098b06ba4a251615267": { + "package": { + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "type": "basic.input", + "data": { + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -96, + "y": 184 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 392, + "y": 224 + } + }, + { + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "type": "basic.input", + "data": { + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -96, + "y": 256 + } + }, + { + "id": "c9b95091-7c99-448b-9934-18e096717eeb", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "a", + "range": "[31:0]", + "size": 32 + }, + { + "name": "b", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "ge" + } + ] + }, + "params": [], + "code": "assign ge = (a >= b);" + }, + "position": { + "x": 88, + "y": 184 + }, + "size": { + "width": 240, + "height": 136 + } + } + ], + "wires": [ + { + "source": { + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" + }, + "size": 32 + }, + { + "source": { + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 + }, + { + "source": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { + "package": { + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 560, + "y": 64 + } + }, + { + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 72 + } + }, + { + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 144 + } + }, + { + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 560, + "y": 168 + } + }, + { + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": 224 + } + }, + { + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 560, + "y": 272 + } + }, + { + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 560, + "y": 376 + } + }, + { + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 376 + } + }, + { + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 864, + "y": -80 + } + }, + { + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 720, + "y": 40 + }, + "size": { + "width": 376, + "height": 424 + } + } + ], + "wires": [ + { + "source": { + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" + }, + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" + } + }, + { + "source": { + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" + }, + "size": 32 + }, + { + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" + } + } + ] + } + } + }, + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { + "package": { + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 240, + "y": 0 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 832, + "y": 120 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "set", + "clock": false + }, + "position": { + "x": 232, + "y": 120 + } + }, + { + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 232, + "y": 240 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 536, + "y": -144 + } + }, + { + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "set" + }, + { + "name": "reset" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 400, + "y": -32 + }, + "size": { + "width": 360, + "height": 360 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" + } + }, + { + "source": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "12181aafa567423f27b91da184acb1c9a94045c5": { + "package": { + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 296, + "y": -48 + } + }, + { + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 448, + "y": -48 + } + }, + { + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 344, + "y": 120 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 656, + "y": 216 + } + }, + { + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 488, + "y": 104 + } + }, + { + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 304, + "y": -80 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 216, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", + "type": "basic.info", + "data": { + "info": "Initial value: 1", + "readonly": true + }, + "position": { + "x": 504, + "y": 280 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", + "type": "basic.info", + "data": { + "info": "Initial value: 0", + "readonly": true + }, + "position": { + "x": 216, + "y": 288 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", + "type": "basic.info", + "data": { + "info": "Falling edge", + "readonly": true + }, + "position": { + "x": 368, + "y": 264 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 488, + "y": 200 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "port": "out" + }, + "target": { + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 456, + "y": 184 + } + ] + }, + { + "source": { + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + }, + { + "source": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "b7f7136a87c607769a64233fd99bc12818a05746": { + "package": { + "name": "TFF-verilog", + "version": "0.4", + "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 328, + "y": -80 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 904, + "y": -8 + } + }, + { + "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 320, + "y": 64 + } + }, + { + "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 624, + "y": -248 + } + }, + { + "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "t" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 496, + "y": -120 + }, + "size": { + "width": 352, + "height": 288 + } + } + ], + "wires": [ + { + "source": { + "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "port": "constant-out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "INI" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "clk" + } + }, + { + "source": { + "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", + "port": "out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "t" + } + }, + { + "source": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "249940b3a2a6f82363df9ad6e49d976c389523b9": { + "package": { + "name": "8-bits-Mux-2-1-verilog", + "version": "0.1", + "description": "2-to-1 Multplexer (8-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3ebd9e0a-9308-4615-af47-ae7b97f6f04a", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 320, + "y": -56 + } + }, + { + "id": "e1d78d57-b098-4613-a11e-9e106a69765b", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 984, + "y": 32 + } + }, + { + "id": "d3868260-885c-407b-b2e4-3a25beb8fd5e", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 320, + "y": 32 + } + }, + { + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 320, + "y": 120 + } + }, + { + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + }, + { + "name": "sel" + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" + }, + "position": { + "x": 560, + "y": -72 + }, + "size": { + "width": 304, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" + }, + "target": { + "block": "e1d78d57-b098-4613-a11e-9e106a69765b", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "d3868260-885c-407b-b2e4-3a25beb8fd5e", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "3ebd9e0a-9308-4615-af47-ae7b97f6f04a", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "43d9245a8c7f09d6a81945d758e1cb8241e24084": { + "package": { + "name": "PDM-1bit-DAC", + "version": "0.3", + "description": "Pulse-density modulated 1-bit DAC", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588403710364 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ef451927-f90b-424b-ad34-6ac114143513", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 56, + "y": 1072 + } + }, + { + "id": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 200, + "y": 1072 + } + }, + { + "id": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 976, + "y": 1176 + } + }, + { + "id": "309765f3-0e44-49b6-98a7-c4490b748153", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 192, + "y": 1216 + } + }, + { + "id": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1256, + "y": 1280 + } + }, + { + "id": "626fe170-4ece-4558-9a88-104a4ad5e559", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 200, + "y": 1328 + } + }, + { + "id": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "size": 12 + }, + "position": { + "x": 408, + "y": 1376 + } + }, + { + "id": "d8912692-c881-4f65-afd4-ef323a15a78d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 888, + "y": 1392 + } + }, + { + "id": "56c80258-8515-47c4-98b9-af247b8fa77b", + "type": "basic.input", + "data": { + "name": "din", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 64, + "y": 1528 + } + }, + { + "id": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "type": "basic.inputLabel", + "data": { + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "blockColor": "navy", + "size": 12 + }, + "position": { + "x": 224, + "y": 1528 + } + }, + { + "id": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "position": { + "x": 552, + "y": 1296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "type": "117c154c9710e7538dc4149a2241691940cc4a09", + "position": { + "x": 752, + "y": 1296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3f812810-f7f6-44f4-b61d-0771051d1786", + "type": "basic.info", + "data": { + "info": "Accumulator", + "readonly": true + }, + "position": { + "x": 368, + "y": 1224 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "28dbb1a3-bb5f-451d-8c33-a1384957e4fb", + "type": "basic.info", + "data": { + "info": "Add the incoming sample \nto the accumulator", + "readonly": true + }, + "position": { + "x": 528, + "y": 1224 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "8136d2e2-29e8-49a3-90c9-eab2965af74e", + "type": "basic.info", + "data": { + "info": "Incoming sample", + "readonly": true + }, + "position": { + "x": 112, + "y": 1496 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "1276c60c-b629-443b-9d74-635583ec44f0", + "type": "basic.info", + "data": { + "info": "Accumulator overflow \ncarry set (MSB)", + "readonly": true + }, + "position": { + "x": 760, + "y": 1224 + }, + "size": { + "width": 184, + "height": 56 + } + }, + { + "id": "344e3fb1-c7dd-4ec8-92f4-c930614ff803", + "type": "basic.info", + "data": { + "info": "Pulse-density modulated \noutput signal", + "readonly": true + }, + "position": { + "x": 1248, + "y": 1216 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "ad75e91f-5736-4b0a-9305-0228207b976a", + "type": "basic.info", + "data": { + "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "readonly": true + }, + "position": { + "x": 384, + "y": 752 + }, + "size": { + "width": 760, + "height": 272 + } + }, + { + "id": "84f30124-bb0d-465a-91f3-789d855aaba3", + "type": "basic.info", + "data": { + "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "readonly": true + }, + "position": { + "x": 1336, + "y": 760 + }, + "size": { + "width": 496, + "height": 184 + } + }, + { + "id": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1112, + "y": 1264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "type": "4a3e5082790168ab13226b6a032ed6e45e656420", + "position": { + "x": 352, + "y": 1280 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ef451927-f90b-424b-ad34-6ac114143513", + "port": "out" + }, + "target": { + "block": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "56c80258-8515-47c4-98b9-af247b8fa77b", + "port": "out", + "size": 12 + }, + "target": { + "block": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "port": "inlabel" + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "port": "outlabel" + }, + "target": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "d3293383-456c-471c-974d-342cc97e652b", + "size": 12 + }, + "target": { + "block": "d8912692-c881-4f65-afd4-ef323a15a78d", + "port": "inlabel" + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "port": "outlabel" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [] + }, + { + "source": { + "block": "309765f3-0e44-49b6-98a7-c4490b748153", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + }, + "vertices": [] + }, + { + "source": { + "block": "626fe170-4ece-4558-9a88-104a4ad5e559", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + }, + "target": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + }, + "vertices": [], + "size": 13 + }, + { + "source": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + }, + "target": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + }, + "vertices": [], + "size": 12 + } + ] + } + } + }, + "29c9a45c6996a3804d02693a6f8a8daf6a157256": { + "package": { + "name": "sum-2op-12bits", + "version": "0.1", + "description": "Sumador de dos operandos de 12 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 224, + "y": 176 + } + }, + { + "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", + "type": "basic.output", + "data": { + "name": "", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 672, + "y": 192 + } + }, + { + "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 224, + "y": 248 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[11:0]", + "size": 12 + }, + { + "name": "b", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "s", + "range": "[12:0]", + "size": 13 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 12 + }, + { + "source": { + "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 12 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", + "port": "in" + }, + "size": 13 + } + ] + } + } + }, + "117c154c9710e7538dc4149a2241691940cc4a09": { + "package": { + "name": "split-1-12-bus", + "version": "0.1", + "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "type": "basic.output", + "data": { + "name": "o1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false + }, + "position": { + "x": 584, + "y": 144 + } + }, + { + "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "type": "basic.input", + "data": { + "name": "i", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 144, + "y": 200 + } + }, + { + "id": "d3293383-456c-471c-974d-342cc97e652b", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 576, + "y": 240 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[12];\nassign o0 = i[11:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[12:0]", + "size": 13 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" + } + }, + { + "source": { + "block": "ecf75200-3425-42bf-b093-a4b731cadab6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 13 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "d3293383-456c-471c-974d-342cc97e652b", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "4a3e5082790168ab13226b6a032ed6e45e656420": { + "package": { + "name": "Registro-12bits-system", + "version": "0.2", + "description": "Registro de 12 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 120, + "y": 192 + } + }, + { + "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 120, + "y": 296 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 12;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "q", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 12 + } + ] + } + } + }, + "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223": { + "package": { + "name": "tone_generator_pulse", + "version": "0.1", + "description": "Generate a squeare signal (pulse) from the timebase", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20id=%22Ebene_1%22%20width=%22202.334%22%20height=%22161.714%22%20viewBox=%220%200%20202.33365%20161.71387%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path998%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-62%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-99%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321-3%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339-0%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1228%22/%3E%3Ccircle%20id=%22gpDot%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt4%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5%22%20id=%22gpPt6%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt8%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt9%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9%22%20id=%22gpPt10%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt11%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11%22%20id=%22gpPt12%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpDot-3%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0-6%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1-7%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2-5%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt4-5%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5-6%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5-6%22%20id=%22gpPt6-2%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7-9%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt8-1%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt9-2%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9-2%22%20id=%22gpPt10-7%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt11-0%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11-0%22%20id=%22gpPt12-9%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20r=%2236.696%22%20cy=%22123.902%22%20cx=%2237.811%22%20id=%22path1606%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22144.44%22%20y=%22144.225%22%20id=%22text849%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20id=%22tspan847%22%20x=%22144.44%22%20y=%22144.225%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3EPulse%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-163.768%22%20y=%22378.437%22%20id=%22text1419%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20d=%22M844.847%2091.713%22%20id=%22path2375%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M454.235-55.93699999999998%22%20id=%22path2399%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M519.337-55.93699999999998%22%20id=%22path2411%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M844.847-55.93699999999998%22%20id=%22path2471%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20id=%22path2487%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22graph%22%20d=%22M22.832%2066.689V1.413h42.953v65.276h38.981V1.413h42.952v65.276h42.387%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", + "otid": 1588447340837 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "42537563-f4f5-4a36-abbb-3a8111a7cd98", + "type": "basic.input", + "data": { + "name": "amp", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 136, + "y": -128 + } + }, + { + "id": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 944, + "y": -96 + } + }, + { + "id": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 144, + "y": 168 + } + }, + { + "id": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "type": "704dd5f8afe62655a24799888c6f808656700e78", + "position": { + "x": 752, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", + "position": { + "x": 536, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", + "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", + "position": { + "x": 384, + "y": -48 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "type": "770c28026476212f3ed069d6ca576d596bfda158", + "position": { + "x": 304, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a015b861-c345-41dd-972a-125df93d8320", + "type": "basic.info", + "data": { + "info": "In the first half phase the output is 0, \nin the second half phase the output is the amplitude ", + "readonly": true + }, + "position": { + "x": 296, + "y": 248 + }, + "size": { + "width": 440, + "height": 80 + } + }, + { + "id": "64b452b9-f831-4681-8b41-1bf40f50a7f6", + "type": "basic.info", + "data": { + "info": "The sample is 12 bits \nOnly the 8 MSB is used for the \namplitude. The 4 lsb are 0", + "readonly": true + }, + "position": { + "x": 416, + "y": -200 + }, + "size": { + "width": 288, + "height": 72 + } + } + ], + "wires": [ + { + "source": { + "block": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + }, + "target": { + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "42537563-f4f5-4a36-abbb-3a8111a7cd98", + "port": "out" + }, + "target": { + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "906137ba-f840-4759-9556-b6a0ec101654" + }, + "size": 8 + }, + { + "source": { + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + }, + "target": { + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "29bbd7ca-c0f4-493d-b010-d91490b2ba36" + }, + "size": 12 + }, + { + "source": { + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3" + }, + "target": { + "block": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "port": "out" + }, + "target": { + "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + }, + "size": 24 + }, + { + "source": { + "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + }, + "target": { + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "dc0503e7-6d73-4528-8588-e07859b9246b" + } + } + ] + } + } + }, + "704dd5f8afe62655a24799888c6f808656700e78": { + "package": { + "name": "Channel-enable", + "version": "0.1", + "description": "12-bits Channel enable gate", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2258.054%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588407195351 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 16, + "y": 320 + } + }, + { + "id": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "type": "basic.output", + "data": { + "name": "", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 1104, + "y": 336 + } + }, + { + "id": "dc0503e7-6d73-4528-8588-e07859b9246b", + "type": "basic.input", + "data": { + "name": "en", + "clock": false + }, + "position": { + "x": 48, + "y": 784 + } + }, + { + "id": "be3e513d-01cc-4283-b6fd-08a819830611", + "type": "8d2bd952c205c3c773c9ac9da1c6bba1f3166165", + "position": { + "x": 896, + "y": 176 + }, + "size": { + "width": 96, + "height": 384 + } + }, + { + "id": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "type": "3b52d5a4df7042b0cd9f5945ae31497b4741aa22", + "position": { + "x": 256, + "y": 160 + }, + "size": { + "width": 96, + "height": 384 + } + }, + { + "id": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a7438cb7-d571-484e-852d-5a1af26158f7", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2f5c5027-6968-425d-848f-44e20329a15c", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 480 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 552 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "756c0631-762c-418b-879d-eb4bb02aa428", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 624 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 696 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a970977d-5030-4145-ae62-6ef064aee10e", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 768 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 408 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "ee811595-5804-4013-af30-15cd89b5bed2" + }, + "target": { + "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "port": "out" + }, + "target": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" + }, + "size": 12 + }, + { + "source": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" + } + }, + { + "source": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" + }, + "vertices": [ + { + "x": 848, + "y": 120 + } + ] + }, + { + "source": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" + }, + "vertices": [ + { + "x": 832, + "y": 192 + } + ] + }, + { + "source": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" + }, + "vertices": [ + { + "x": 816, + "y": 256 + } + ] + }, + { + "source": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + }, + "vertices": [ + { + "x": 800, + "y": 280 + } + ] + }, + { + "source": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + } + }, + { + "source": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + } + }, + { + "source": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + }, + "vertices": [ + { + "x": 864, + "y": 712 + } + ] + }, + { + "source": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "42733799-2dd4-429b-bd43-a478067a10a6" + }, + "vertices": [ + { + "x": 848, + "y": 640 + } + ] + }, + { + "source": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + }, + "vertices": [ + { + "x": 832, + "y": 552 + } + ] + }, + { + "source": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + }, + "vertices": [ + { + "x": 824, + "y": 480 + } + ] + }, + { + "source": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + }, + "vertices": [ + { + "x": 808, + "y": 400 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" + }, + "target": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 392, + "y": -32 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" + }, + "target": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 88 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" + }, + "target": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 152 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" + }, + "target": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 216 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" + }, + "target": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 280 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + }, + "target": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + }, + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 400 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + }, + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 464 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + }, + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 496 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + }, + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 576 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" + }, + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 392, + "y": 640 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" }, - "position": { - "x": 144, - "y": 168 - } + "target": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 368, + "y": 720 + } + ] }, { - "id": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "type": "704dd5f8afe62655a24799888c6f808656700e78", - "position": { - "x": 752, - "y": -96 + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", - "position": { - "x": 536, - "y": -112 + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", - "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", - "position": { - "x": 384, - "y": -48 + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "type": "770c28026476212f3ed069d6ca576d596bfda158", - "position": { - "x": 304, - "y": 168 + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "a015b861-c345-41dd-972a-125df93d8320", - "type": "basic.info", - "data": { - "info": "In the first half phase the output is 0, \nin the second half phase the output is the amplitude ", - "readonly": true - }, - "position": { - "x": 296, - "y": 248 + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, - "size": { - "width": 440, - "height": 80 + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { - "id": "64b452b9-f831-4681-8b41-1bf40f50a7f6", - "type": "basic.info", - "data": { - "info": "The sample is 12 bits \nOnly the 8 MSB is used for the \namplitude. The 4 lsb are 0", - "readonly": true - }, - "position": { - "x": 416, - "y": -200 + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, - "size": { - "width": 288, - "height": 72 + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } - } - ], - "wires": [ + }, { "source": { - "block": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", - "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, "target": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" - }, - "vertices": [], - "size": 4 + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "42537563-f4f5-4a36-abbb-3a8111a7cd98", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "906137ba-f840-4759-9556-b6a0ec101654" - }, - "size": 8 + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, "target": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "29bbd7ca-c0f4-493d-b010-d91490b2ba36" - }, - "size": 12 + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3" + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, "target": { - "block": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", - "port": "in" - }, - "size": 12 + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" - }, - "size": 24 + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, "target": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "dc0503e7-6d73-4528-8588-e07859b9246b" + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } } ] } } }, - "704dd5f8afe62655a24799888c6f808656700e78": { + "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { "package": { - "name": "Channel-enable", + "name": "join-bus-11-bits", "version": "0.1", - "description": "12-bits Channel enable gate", + "description": "Join 11 wires into a 11-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2258.054%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588407195351 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", - "clock": false, - "size": 12 + "name": "i11", + "clock": false }, "position": { - "x": 16, - "y": 320 + "x": 80, + "y": 160 } }, { - "id": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "type": "basic.output", + "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "name": "i10", + "clock": false }, "position": { - "x": 1104, - "y": 336 + "x": -40, + "y": 200 } }, { - "id": "dc0503e7-6d73-4528-8588-e07859b9246b", + "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", "type": "basic.input", "data": { - "name": "en", + "name": "i9", "clock": false }, - "position": { - "x": 48, - "y": 784 - } - }, - { - "id": "be3e513d-01cc-4283-b6fd-08a819830611", - "type": "8d2bd952c205c3c773c9ac9da1c6bba1f3166165", - "position": { - "x": 896, - "y": 176 - }, - "size": { - "width": 96, - "height": 384 - } - }, - { - "id": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "type": "3b52d5a4df7042b0cd9f5945ae31497b4741aa22", - "position": { - "x": 256, - "y": 160 - }, - "size": { - "width": 96, - "height": 384 - } - }, - { - "id": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": -72 - }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 80, + "y": 240 } }, { - "id": "a7438cb7-d571-484e-852d-5a1af26158f7", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 0 + "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "type": "basic.input", + "data": { + "name": "i8", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -40, + "y": 280 } }, { - "id": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 72 + "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "type": "basic.input", + "data": { + "name": "i7", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 80, + "y": 320 } }, { - "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 144 + "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "type": "basic.input", + "data": { + "name": "i6", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -40, + "y": 360 } }, { - "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 216 + "id": "ee811595-5804-4013-af30-15cd89b5bed2", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1032, + "y": 384 } }, { - "id": "2f5c5027-6968-425d-848f-44e20329a15c", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 320 + "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "type": "basic.input", + "data": { + "name": "i5", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 80, + "y": 400 } }, { - "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 480 + "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "type": "basic.input", + "data": { + "name": "i4", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -32, + "y": 440 } }, { - "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 552 + "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "type": "basic.input", + "data": { + "name": "i3", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 88, + "y": 480 } }, { - "id": "756c0631-762c-418b-879d-eb4bb02aa428", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 624 + "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "type": "basic.input", + "data": { + "name": "i2", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -40, + "y": 520 } }, { - "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 696 + "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "type": "basic.input", + "data": { + "name": "i1", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 80, + "y": 560 } }, { - "id": "a970977d-5030-4145-ae62-6ef064aee10e", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 768 + "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": -24, + "y": 600 } }, { - "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i11" + }, + { + "name": "i10" + }, + { + "name": "i9" + }, + { + "name": "i8" + }, + { + "name": "i7" + }, + { + "name": "i6" + }, + { + "name": "i5" + }, + { + "name": "i4" + }, + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, "position": { - "x": 664, - "y": 408 + "x": 296, + "y": 176 }, "size": { - "width": 96, - "height": 64 + "width": 656, + "height": 472 } } ], "wires": [ { "source": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "ee811595-5804-4013-af30-15cd89b5bed2" + "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "port": "out" }, "target": { - "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i7" + } + }, + { + "source": { + "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "port": "out" }, - "size": 12 + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i6" + } + }, + { + "source": { + "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i5" + } }, { "source": { - "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", "port": "out" }, "target": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" - }, - "size": 12 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" + } }, { "source": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" } }, { "source": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" - }, - "vertices": [ - { - "x": 848, - "y": 120 - } - ] + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + } }, { "source": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" - }, - "vertices": [ - { - "x": 832, - "y": 192 - } - ] + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } }, { "source": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" - }, - "vertices": [ - { - "x": 816, - "y": 256 - } - ] + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } }, { "source": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + "block": "ee811595-5804-4013-af30-15cd89b5bed2", + "port": "in" }, - "vertices": [ - { - "x": 800, - "y": 280 - } - ] + "size": 12 }, { "source": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i8" } }, { "source": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i9" } }, { "source": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" - }, - "vertices": [ - { - "x": 864, - "y": 712 - } - ] + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i10" + } }, { "source": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "42733799-2dd4-429b-bd43-a478067a10a6" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i11" + } + } + ] + } + } + }, + "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { + "package": { + "name": "Separador-bus", + "version": "0.1", + "description": "Separador de bus de 12-bits en 12 cables", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "type": "basic.output", + "data": { + "name": "o11" }, - "vertices": [ - { - "x": 848, - "y": 640 - } - ] + "position": { + "x": 552, + "y": -176 + } }, { - "source": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "type": "basic.output", + "data": { + "name": "o10" }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + "position": { + "x": 584, + "y": -120 + } + }, + { + "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "type": "basic.output", + "data": { + "name": "o9" }, - "vertices": [ - { - "x": 832, - "y": 552 - } - ] + "position": { + "x": 616, + "y": -64 + } }, { - "source": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "type": "basic.output", + "data": { + "name": "o8" }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + "position": { + "x": 656, + "y": -8 + } + }, + { + "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "type": "basic.output", + "data": { + "name": "o7" }, - "vertices": [ - { - "x": 824, - "y": 480 - } - ] + "position": { + "x": 712, + "y": 48 + } }, { - "source": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "type": "basic.output", + "data": { + "name": "o6" }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + "position": { + "x": 728, + "y": 104 + } + }, + { + "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "type": "basic.output", + "data": { + "name": "o5" }, - "vertices": [ - { - "x": 808, - "y": 400 - } - ] + "position": { + "x": 752, + "y": 160 + } + }, + { + "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "type": "basic.input", + "data": { + "name": "i", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 16, + "y": 192 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" - }, - "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "f4978486-1baf-45b6-962d-f7c4f5021560", + "type": "basic.output", + "data": { + "name": "o4" }, - "vertices": [ - { - "x": 392, - "y": -32 - } - ] + "position": { + "x": 784, + "y": 216 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" - }, - "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "type": "basic.output", + "data": { + "name": "o3" }, - "vertices": [ - { - "x": 408, - "y": 88 - } - ] + "position": { + "x": 768, + "y": 272 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" - }, - "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "type": "basic.output", + "data": { + "name": "o2" }, - "vertices": [ - { - "x": 424, - "y": 152 - } - ] + "position": { + "x": 728, + "y": 328 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" + "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "type": "basic.output", + "data": { + "name": "o1" }, - "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 600, + "y": 352 + } + }, + { + "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "type": "basic.output", + "data": { + "name": "o0" }, - "vertices": [ - { - "x": 440, - "y": 216 - } - ] + "position": { + "x": 536, + "y": 416 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "o11" + }, + { + "name": "o10" + }, + { + "name": "o9" + }, + { + "name": "o8" + }, + { + "name": "o7" + }, + { + "name": "o6" + }, + { + "name": "o5" + }, + { + "name": "o4" + }, + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } }, - "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "position": { + "x": 192, + "y": 80 }, - "vertices": [ - { - "x": 456, - "y": 280 - } - ] - }, + "size": { + "width": 256, + "height": 280 + } + } + ], + "wires": [ { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o7" }, "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "port": "in" } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o6" }, "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 456, - "y": 400 - } - ] + "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "port": "in" + } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o5" }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 440, - "y": 464 - } - ] + "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "port": "in" + } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o4" }, "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 424, - "y": 496 - } - ] + "block": "f4978486-1baf-45b6-962d-f7c4f5021560", + "port": "in" + } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 408, - "y": 576 - } - ] + "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "port": "in" + } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 392, - "y": 640 - } - ] + "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "port": "in" + } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 368, - "y": 720 - } - ] + "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "port": "in" + } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "port": "in" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o8" }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "port": "in" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o9" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "port": "in" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o10" }, "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "port": "in" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o11" }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "port": "in" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", "port": "out" }, "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 + } + ] + } + } + }, + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "package": { + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 88 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 784, + "y": 152 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 224 } }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 + } + } + ], + "wires": [ { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { + "package": { + "name": "Join-8-4", + "version": "0.0.1", + "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "906137ba-f840-4759-9556-b6a0ec101654", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 136, + "y": 240 + } + }, + { + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 704, + "y": 288 + } + }, + { + "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 136, + "y": 336 + } + }, + { + "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "type": "basic.code", + "data": { + "code": "assign o = {i1,i0};\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 328, + "y": 224 + }, + "size": { + "width": 288, + "height": 192 } - }, + } + ], + "wires": [ { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", "port": "out" }, "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + }, + "size": 4 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "906137ba-f840-4759-9556-b6a0ec101654", "port": "out" }, "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 8 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" }, "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "port": "in" + }, + "size": 12 } ] } } }, - "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { + "a0b8df28f3452068e469a9c210e4e62ae8c78900": { "package": { - "name": "join-bus-11-bits", - "version": "0.1", - "description": "Join 11 wires into a 11-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "Valor_0_4bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 4 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", - "type": "basic.input", + "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "type": "basic.output", "data": { - "name": "i11", - "clock": false + "name": "k", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 80, - "y": 160 + "x": 928, + "y": 256 } }, { - "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", - "type": "basic.input", + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", "data": { - "name": "i10", - "clock": false + "name": "", + "value": "0", + "local": true }, "position": { - "x": -40, - "y": 200 + "x": 728, + "y": 152 } }, { - "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "type": "basic.input", - "data": { - "name": "i9", - "clock": false - }, + "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "type": "9274d3154d579c5922da669b25ca14097a46a22f", "position": { - "x": 80, - "y": 240 - } - }, - { - "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", - "type": "basic.input", - "data": { - "name": "i8", - "clock": false + "x": 728, + "y": 256 }, - "position": { - "x": -40, - "y": 280 + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "type": "basic.input", - "data": { - "name": "i7", - "clock": false + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" }, - "position": { - "x": 80, - "y": 320 + "target": { + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { - "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "type": "basic.input", - "data": { - "name": "i6", - "clock": false + "source": { + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" }, - "position": { - "x": -40, - "y": 360 - } - }, + "target": { + "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "9274d3154d579c5922da669b25ca14097a46a22f": { + "package": { + "name": "Constante-4bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "ee811595-5804-4013-af30-15cd89b5bed2", + "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", "type": "basic.output", "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "k", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 1032, - "y": 384 + "x": 960, + "y": 248 } }, { - "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "type": "basic.input", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "name": "i5", - "clock": false + "name": "", + "value": "0", + "local": false }, "position": { - "x": 80, - "y": 400 + "x": 728, + "y": 128 } }, { - "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "type": "basic.input", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "name": "i4", - "clock": false + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[3:0]", + "size": 4 + } + ] + } }, "position": { - "x": -32, - "y": 440 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 } + } + ], + "wires": [ + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "port": "in" + }, + "size": 4 }, { - "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "type": "basic.input", - "data": { - "name": "i3", - "clock": false + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, - "position": { - "x": 88, - "y": 480 + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } - }, + } + ] + } + } + }, + "770c28026476212f3ed069d6ca576d596bfda158": { + "package": { + "name": "split-1-23", + "version": "0.1-c1588489478854", + "description": "split a 24-bit bus into 1 wire and a 23-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "42733799-2dd4-429b-bd43-a478067a10a6", - "type": "basic.input", + "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "type": "basic.output", "data": { - "name": "i2", - "clock": false + "name": "o1" }, "position": { - "x": -40, - "y": 520 + "x": 584, + "y": 128 } }, { - "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", "type": "basic.input", "data": { - "name": "i1", - "clock": false + "name": "i", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 80, - "y": 560 + "x": 64, + "y": 200 } }, { - "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "type": "basic.input", + "id": "b64bd4de-2f3d-447f-b060-266885b19807", + "type": "basic.output", "data": { - "name": "i0", - "clock": false + "name": "o0", + "range": "[22:0]", + "size": 23 }, "position": { - "x": -24, - "y": 600 + "x": 584, + "y": 264 } }, { "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", + "code": "assign o1 = i[23];\nassign o0 = i[22:0];", "params": [], "ports": { "in": [ { - "name": "i11" - }, - { - "name": "i10" - }, - { - "name": "i9" - }, - { - "name": "i8" - }, - { - "name": "i7" - }, - { - "name": "i6" - }, - { - "name": "i5" - }, - { - "name": "i4" - }, - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" + "name": "i", + "range": "[23:0]", + "size": 24 } ], "out": [ { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "o1" + }, + { + "name": "o0", + "range": "[22:0]", + "size": 23 } ] } }, "position": { - "x": 296, + "x": 224, "y": 176 }, "size": { - "width": 656, - "height": 472 + "width": 256, + "height": 112 } } ], "wires": [ { "source": { - "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i7" - } - }, - { - "source": { - "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i6" - } - }, - { - "source": { - "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i5" - } - }, - { - "source": { - "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i4" - } - }, - { - "source": { - "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - } - }, - { - "source": { - "block": "42733799-2dd4-429b-bd43-a478067a10a6", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - } - }, - { - "source": { - "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } - }, - { - "source": { - "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "port": "out" + "port": "i" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } + "size": 24 }, { "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "port": "o1" }, "target": { - "block": "ee811595-5804-4013-af30-15cd89b5bed2", + "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", "port": "in" - }, - "size": 12 - }, - { - "source": { - "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i8" } }, { "source": { - "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "port": "out" - }, - "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i9" - } - }, - { - "source": { - "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", - "port": "out" + "port": "o0" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i10" - } - }, - { - "source": { - "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", - "port": "out" + "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "port": "in" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i11" - } + "size": 23 } ] } } }, - "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { + "a7499d579ce034fce2c6c201134ee0acbd4232cd": { "package": { - "name": "Separador-bus", - "version": "0.1", - "description": "Separador de bus de 12-bits en 12 cables", + "name": "24-bits-accumulator", + "version": "0.2", + "description": "24-bits accumulator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 }, "design": { "graph": { "blocks": [ { - "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", - "type": "basic.output", - "data": { - "name": "o11" - }, - "position": { - "x": 552, - "y": -176 - } - }, - { - "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "type": "basic.output", - "data": { - "name": "o10" - }, - "position": { - "x": 584, - "y": -120 - } - }, - { - "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", - "type": "basic.output", - "data": { - "name": "o9" - }, - "position": { - "x": 616, - "y": -64 - } - }, - { - "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "type": "basic.output", - "data": { - "name": "o8" - }, - "position": { - "x": 656, - "y": -8 - } - }, - { - "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "type": "basic.output", + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", "data": { - "name": "o7" + "name": "", + "clock": true }, "position": { - "x": 712, - "y": 48 + "x": 48, + "y": 40 } }, { - "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "type": "basic.output", + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", "data": { - "name": "o6" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 728, - "y": 104 + "x": 192, + "y": 40 } }, { - "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "id": "bf631fb2-9575-442d-ae82-71dd0be21888", "type": "basic.output", "data": { - "name": "o5" + "name": "", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 752, - "y": 160 + "x": 680, + "y": 152 } }, { - "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", - "type": "basic.input", + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", "data": { - "name": "i", - "range": "[11:0]", - "clock": false, - "size": 12 + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 16, - "y": 192 + "x": 312, + "y": 176 } }, { - "id": "f4978486-1baf-45b6-962d-f7c4f5021560", - "type": "basic.output", + "id": "ca7c2d52-5293-4143-9758-468e17d643c2", + "type": "basic.outputLabel", "data": { - "name": "o4" + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 }, "position": { - "x": 784, - "y": 216 + "x": 280, + "y": 256 } }, { - "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "type": "basic.output", + "id": "77d4f680-5d59-458b-8b56-69633057a69c", + "type": "basic.inputLabel", "data": { - "name": "o3" + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 }, "position": { - "x": 768, + "x": 824, "y": 272 } }, { - "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "type": "basic.output", + "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "type": "basic.input", "data": { - "name": "o2" + "name": "inc", + "range": "[15:0]", + "clock": false, + "size": 16 }, "position": { - "x": 728, - "y": 328 + "x": 48, + "y": 336 } }, { - "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "type": "basic.output", + "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "type": "basic.input", "data": { - "name": "o1" + "name": "add", + "clock": false }, "position": { - "x": 600, - "y": 352 + "x": 48, + "y": 416 } }, { - "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "type": "basic.output", - "data": { - "name": "o0" - }, + "id": "a65b6302-05d5-4df6-b308-44ec4b939901", + "type": "380890f86e3a886844470fb5c8431265046f197c", "position": { - "x": 536, - "y": 416 + "x": 648, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[11:0]", - "size": 12 - } - ], - "out": [ - { - "name": "o11" - }, - { - "name": "o10" - }, - { - "name": "o9" - }, - { - "name": "o8" - }, - { - "name": "o7" - }, - { - "name": "o6" - }, - { - "name": "o5" - }, - { - "name": "o4" - }, - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" - } - ] - } - }, + "id": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "type": "750d007793329bb1f20002d08e38074d9bdd4f76", "position": { - "x": 192, - "y": 80 + "x": 448, + "y": 240 }, "size": { - "width": 256, - "height": 280 + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o7" - }, - "target": { - "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o6" + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" }, "target": { - "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o5" + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" }, - "target": { - "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", - "port": "in" - } + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o4" + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" }, "target": { - "block": "f4978486-1baf-45b6-962d-f7c4f5021560", - "port": "in" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "size": 24 }, "target": { - "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "block": "77d4f680-5d59-458b-8b56-69633057a69c", + "port": "inlabel" }, - "target": { - "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "port": "in" - } + "size": 24 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "ca7c2d52-5293-4143-9758-468e17d643c2", + "port": "outlabel" }, "target": { - "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "size": 24 }, - "target": { - "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "port": "in" - } + "size": 24 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o8" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, "target": { - "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o9" + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" }, - "target": { - "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", - "port": "in" - } + "size": 24 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o10" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, "target": { - "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "block": "bf631fb2-9575-442d-ae82-71dd0be21888", "port": "in" - } + }, + "size": 24 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o11" + "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "port": "out" }, "target": { - "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", - "port": "in" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { "source": { - "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "a8a28134-418c-49be-9550-f546432bebc9" }, - "size": 12 + "size": 16 } ] } } }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "380890f86e3a886844470fb5c8431265046f197c": { "package": { - "name": "AND", - "version": "1.0.1", - "description": "Puerta AND", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "sum-24-16->24bits", + "version": "0.1", + "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 64, - "y": 88 + "x": 336, + "y": 136 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 784, - "y": 152 + "x": 680, + "y": 192 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", + "id": "a8a28134-418c-49be-9550-f546432bebc9", "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 }, "position": { - "x": 64, - "y": 224 + "x": 48, + "y": 272 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", - "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "type": "0b81b8e1e01d92b35ab459001f261115129544e8", + "position": { + "x": 320, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", + "position": { + "x": 144, + "y": 208 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "899734d5-1063-4268-9135-20b5aff4c0fb", + "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", "position": { - "x": 256, - "y": 48 + "x": 504, + "y": 192 }, "size": { - "width": 464, - "height": 272 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "block": "a8a28134-418c-49be-9550-f546432bebc9", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" - } + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" + }, + "size": 16 }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" - } + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" + }, + "size": 8 }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", "port": "in" - } + }, + "size": 24 + }, + { + "source": { + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "port": "out" + }, + "target": { + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + }, + "size": 24 + }, + { + "source": { + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" + }, + "target": { + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" + }, + "size": 24 } ] } } }, - "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { + "0b81b8e1e01d92b35ab459001f261115129544e8": { "package": { - "name": "Join-8-4", - "version": "0.0.1", - "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", + "name": "Agregador-8-16-bus", + "version": "0.1", + "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", + "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "906137ba-f840-4759-9556-b6a0ec101654", + "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", "type": "basic.input", "data": { "name": "i1", @@ -7491,42 +17022,42 @@ "size": 8 }, "position": { - "x": 136, - "y": 240 + "x": 112, + "y": 144 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", "type": "basic.output", "data": { "name": "o", - "range": "[11:0]", - "size": 12 + "range": "[23:0]", + "size": 24 }, "position": { - "x": 704, - "y": 288 + "x": 608, + "y": 200 } }, { - "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", "type": "basic.input", "data": { "name": "i0", - "range": "[3:0]", + "range": "[15:0]", "clock": false, - "size": 4 + "size": 16 }, "position": { - "x": 136, - "y": 336 + "x": 128, + "y": 288 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = {i1,i0};\n\n", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ @@ -7537,85 +17068,85 @@ }, { "name": "i0", - "range": "[3:0]", - "size": 4 + "range": "[15:0]", + "size": 16 } ], "out": [ { "name": "o", - "range": "[11:0]", - "size": 12 + "range": "[23:0]", + "size": 24 } ] } }, "position": { - "x": 328, - "y": 224 + "x": 296, + "y": 176 }, "size": { - "width": 288, - "height": 192 + "width": 224, + "height": 112 } } ], "wires": [ { "source": { - "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 4 + "size": 8 }, { "source": { - "block": "906137ba-f840-4759-9556-b6a0ec101654", + "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "size": 8 + "size": 16 }, { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "block": "16e78204-213e-4833-9096-89d735307ec2", "port": "o" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", "port": "in" }, - "size": 12 + "size": 24 } ] } } }, - "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { "package": { - "name": "Valor_0_4bits", + "name": "Valor_0_8bits", "version": "0.0.1", - "description": "Valor constante 0 para bus de 4 bits", + "description": "Valor constante 0 para bus de 8 bits", "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", "type": "basic.output", "data": { "name": "k", - "range": "[3:0]", - "size": 4 + "range": "[7:0]", + "size": 8 }, "position": { "x": 928, @@ -7636,8 +17167,8 @@ } }, { - "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "type": "9274d3154d579c5922da669b25ca14097a46a22f", + "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", "position": { "x": 728, "y": 256 @@ -7655,89 +17186,111 @@ "port": "constant-out" }, "target": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" } }, { "source": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", "port": "in" }, - "size": 4 + "size": 8 } ] } } }, - "9274d3154d579c5922da669b25ca14097a46a22f": { + "25e6ad7722c5f4707b002d30cf0f2111ba416525": { "package": { - "name": "Constante-4bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "sum-2op-24bits", + "version": "0.1", + "description": "Sumador de dos operandos de 24bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 200, + "y": 136 + } + }, + { + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", "type": "basic.output", "data": { - "name": "k", - "range": "[3:0]", - "size": 4 + "name": "", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 960, - "y": 248 + "x": 680, + "y": 192 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "type": "basic.input", "data": { "name": "", - "value": "0", - "local": false + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 728, - "y": 128 + "x": 208, + "y": 232 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "assign s = a + b;", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a", + "range": "[23:0]", + "size": 24 + }, + { + "name": "b", + "range": "[23:0]", + "size": 24 + } + ], "out": [ { - "name": "k", - "range": "[3:0]", - "size": 4 + "name": "s", + "range": "[23:0]", + "size": 24 } ] } }, "position": { - "x": 672, - "y": 248 + "x": 384, + "y": 192 }, "size": { - "width": 208, + "width": 216, "height": 64 } } @@ -7745,146 +17298,214 @@ "wires": [ { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "port": "out" }, "target": { - "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", - "port": "in" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "size": 4 + "size": 24 }, { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "port": "out" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 24 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "port": "in" + }, + "size": 24 } ] } } }, - "770c28026476212f3ed069d6ca576d596bfda158": { + "750d007793329bb1f20002d08e38074d9bdd4f76": { "package": { - "name": "split-1-23", - "version": "0.1-c1588489478854", - "description": "split a 24-bit bus into 1 wire and a 23-bits bus", + "name": "24-Reg", + "version": "0.8", + "description": "24-Reg: 24 bits Register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "id": "c39743c3-1b76-483c-8613-15f2782d0809", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 568, + "y": 144 + } + }, + { + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", "type": "basic.output", "data": { - "name": "o1" + "name": "", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 584, - "y": 128 + "x": 1136, + "y": 240 } }, { - "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", "type": "basic.input", "data": { - "name": "i", + "name": "", "range": "[23:0]", "clock": false, "size": 24 }, "position": { - "x": 64, - "y": 200 + "x": 568, + "y": 240 } }, { - "id": "b64bd4de-2f3d-447f-b060-266885b19807", - "type": "basic.output", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "name": "o0", - "range": "[22:0]", - "size": 23 + "name": "load", + "clock": false }, "position": { - "x": 584, - "y": 264 + "x": 568, + "y": 328 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 848, + "y": 24 + } + }, + { + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", "type": "basic.code", "data": { - "code": "assign o1 = i[23];\nassign o0 = i[22:0];", - "params": [], "ports": { "in": [ { - "name": "i", + "name": "clk" + }, + { + "name": "d", "range": "[23:0]", "size": 24 + }, + { + "name": "load" } ], "out": [ { - "name": "o1" - }, - { - "name": "o0", - "range": "[22:0]", - "size": 23 + "name": "q", + "range": "[23:0]", + "size": 24 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 24;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" }, "position": { - "x": 224, - "y": 176 + "x": 728, + "y": 128 }, "size": { - "width": 256, - "height": 112 + "width": 328, + "height": 280 } } ], "wires": [ { "source": { - "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "port": "constant-out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "c39743c3-1b76-483c-8613-15f2782d0809", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" }, "target": { - "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "port": "in" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" }, "target": { - "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" + }, + "size": 24 + }, + { + "source": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" + }, + "target": { + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", "port": "in" }, - "size": 23 + "size": 24 } ] } diff --git a/examples/02-tone-saw-pulse.ice b/examples/02-tone-saw-pulse.ice index bcccce7..7114560 100644 --- a/examples/02-tone-saw-pulse.ice +++ b/examples/02-tone-saw-pulse.ice @@ -754,18 +754,6 @@ "height": 64 } }, - { - "id": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "type": "27b197ddfe28a2c9581a304b0ccd015cc15cf26e", - "position": { - "x": 2656, - "y": 528 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "de62124e-f283-4394-9e0b-3646526a69bf", "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", @@ -810,30 +798,6 @@ "height": 56 } }, - { - "id": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "type": "b874a1c418f63978103a0af886f5f5a730f47061", - "position": { - "x": 1384, - "y": 368 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "409d054f-820e-44d1-9997-c72117d07134", - "type": "772f42d85230a43faf163474e79bd25bdd1f2aba", - "position": { - "x": 1536, - "y": 680 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "4025c3fc-24e7-49fa-b596-4a5b1b74cd7a", "type": "basic.info", @@ -866,18 +830,6 @@ "height": 32 } }, - { - "id": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "type": "be3c9cd53a16ff19140224bb4472883b9eebb8fc", - "position": { - "x": 2128, - "y": 512 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "c51a9548-0204-47a1-8afb-18f502a54f0d", "type": "basic.info", @@ -927,11 +879,51 @@ } }, { - "id": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "type": "7e4732d019bee7dcd43cb77e27f36dc2a19ff1ad", + "id": "e2f76902-e6d6-4c66-8aeb-49350deee410", + "type": "8aafb75b9836728c23e1b5b324baf01016dec3d5", "position": { - "x": 2408, - "y": 528 + "x": 2296, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "84ec7b21-3b52-4027-b9a0-f0643f5b86f8", + "type": "basic.info", + "data": { + "info": "Tone generator: saw", + "readonly": true + }, + "position": { + "x": 2120, + "y": 640 + }, + "size": { + "width": 192, + "height": 48 + } + }, + { + "id": "d470346b-eeaf-4136-a7fe-09f08bf1df78", + "type": "d3448f0dba28d58a8dd626b44d43935458d4f967", + "position": { + "x": 1384, + "y": 368 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a57ec2a8-ba95-4b9f-b6fc-fe530db5eb09", + "type": "a7499d579ce034fce2c6c201134ee0acbd4232cd", + "position": { + "x": 1536, + "y": 680 }, "size": { "width": 96, @@ -939,11 +931,11 @@ } }, { - "id": "2ecac199-1182-4358-a14f-1d8a287e209d", - "type": "5124dff3f8dd174c4eadfa302b77435826215918", + "id": "75680f42-292e-4c8e-a342-ecd6da14d64a", + "type": "9bcc065f9661d93d2037a3bccf05b884964e1f4b", "position": { - "x": 2136, - "y": 848 + "x": 2656, + "y": 528 }, "size": { "width": 96, @@ -951,11 +943,11 @@ } }, { - "id": "88e261b8-ef36-4f64-9130-05205111ed92", - "type": "7455f923e6af399a439f5304f9502a1671a93d81", + "id": "1afae55a-e105-4e24-8639-2c94728852ca", + "type": "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223", "position": { - "x": 2136, - "y": 672 + "x": 2128, + "y": 512 }, "size": { "width": 96, @@ -963,11 +955,11 @@ } }, { - "id": "e2f76902-e6d6-4c66-8aeb-49350deee410", - "type": "8aafb75b9836728c23e1b5b324baf01016dec3d5", + "id": "43542b2b-7463-414c-9101-191f1888c6a7", + "type": "4aa649ed9a98770b6b495b76e13c8991f2ada2f8", "position": { - "x": 2296, - "y": 144 + "x": 2112, + "y": 848 }, "size": { "width": 96, @@ -975,27 +967,35 @@ } }, { - "id": "84ec7b21-3b52-4027-b9a0-f0643f5b86f8", - "type": "basic.info", - "data": { - "info": "Tone generator: saw", - "readonly": true + "id": "832955cc-2b2d-4d84-95ac-6563d6332936", + "type": "a4cc064d02cd8523ea1b78dcccc7e249936303c7", + "position": { + "x": 2408, + "y": 528 }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a93e6575-0aa5-4bde-9337-0b9a52eb4c8b", + "type": "130c1fe87a38bc44c0e4451bb2ecfde7ea212974", "position": { - "x": 2120, - "y": 640 + "x": 2136, + "y": 672 }, "size": { - "width": 192, - "height": 48 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "d470346b-eeaf-4136-a7fe-09f08bf1df78", + "port": "fb8ce452-0454-433b-b40f-e8398e0be05d" }, "target": { "block": "1dc9ade9-9773-4717-a261-88673d386f09", @@ -1008,15 +1008,14 @@ "port": "outlabel" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "a57ec2a8-ba95-4b9f-b6fc-fe530db5eb09", "port": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90" } }, { "source": { - "block": "409d054f-820e-44d1-9997-c72117d07134", - "port": "bf631fb2-9575-442d-ae82-71dd0be21888", - "size": 24 + "block": "a57ec2a8-ba95-4b9f-b6fc-fe530db5eb09", + "port": "bf631fb2-9575-442d-ae82-71dd0be21888" }, "target": { "block": "f09884ce-ae72-47bc-90f4-6554ebdff24b", @@ -1030,9 +1029,8 @@ "port": "outlabel" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "port": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", - "size": 24 + "block": "1afae55a-e105-4e24-8639-2c94728852ca", + "port": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9" }, "size": 24 }, @@ -1042,17 +1040,15 @@ "port": "outlabel" }, "target": { - "block": "88e261b8-ef36-4f64-9130-05205111ed92", - "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "size": 24 + "block": "a93e6575-0aa5-4bde-9337-0b9a52eb4c8b", + "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" }, "size": 24 }, { "source": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "712723db-f73b-4887-9c99-430b9e3c1e6f", - "size": 12 + "block": "832955cc-2b2d-4d84-95ac-6563d6332936", + "port": "79275c72-5e8f-442b-a3d7-d96d35aa5436" }, "target": { "block": "d6b737e4-7284-4bac-a34b-a593c3f157df", @@ -1067,8 +1063,7 @@ }, "target": { "block": "e2f76902-e6d6-4c66-8aeb-49350deee410", - "port": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", - "size": 12 + "port": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b" }, "size": 12 }, @@ -1084,8 +1079,8 @@ }, { "source": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" + "block": "75680f42-292e-4c8e-a342-ecd6da14d64a", + "port": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d" }, "target": { "block": "66d901ac-25da-4b75-95e7-26134253afcb", @@ -1109,8 +1104,8 @@ "port": "constant-out" }, "target": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "608882f4-bffa-4cac-940f-99f60d98ad53" + "block": "d470346b-eeaf-4136-a7fe-09f08bf1df78", + "port": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd" } }, { @@ -1119,7 +1114,7 @@ "port": "92dade38-46ec-4714-b28b-f4bb1aeca992" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "a57ec2a8-ba95-4b9f-b6fc-fe530db5eb09", "port": "4329f2d6-e1ce-4034-becb-e7fda9e134a8" }, "size": 16 @@ -1130,81 +1125,80 @@ "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "1afae55a-e105-4e24-8639-2c94728852ca", "port": "42537563-f4f5-4a36-abbb-3a8111a7cd98" }, "size": 8 }, { "source": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480" + "block": "832955cc-2b2d-4d84-95ac-6563d6332936", + "port": "79275c72-5e8f-442b-a3d7-d96d35aa5436" }, "target": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "29f01cf3-7e6d-4f95-9e04-2236886e1e84" + "block": "75680f42-292e-4c8e-a342-ecd6da14d64a", + "port": "56c80258-8515-47c4-98b9-af247b8fa77b" }, "size": 12 }, { "source": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "712723db-f73b-4887-9c99-430b9e3c1e6f" + "block": "43542b2b-7463-414c-9101-191f1888c6a7", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "5b2833db-e0ab-43e7-bb2b-528f9940baf6" - }, - "size": 12 + "block": "832955cc-2b2d-4d84-95ac-6563d6332936", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" + } }, { "source": { - "block": "444b9f71-281a-45cd-89a3-462755c47396", - "port": "constant-out" + "block": "e2f76902-e6d6-4c66-8aeb-49350deee410", + "port": "afe50480-6fe5-4ca6-b639-c730569a6a1d" }, "target": { - "block": "2ecac199-1182-4358-a14f-1d8a287e209d", - "port": "319cdca8-e777-4c8b-88ca-f885ba96ad06" + "block": "af87608e-87c8-4275-becf-93e8d726c762", + "port": "in" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "2ecac199-1182-4358-a14f-1d8a287e209d", - "port": "70887b0b-826c-4150-a873-605b77da8272" + "block": "444b9f71-281a-45cd-89a3-462755c47396", + "port": "constant-out" }, "target": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "block": "43542b2b-7463-414c-9101-191f1888c6a7", + "port": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10" } }, { "source": { - "block": "88e261b8-ef36-4f64-9130-05205111ed92", - "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" + "block": "1afae55a-e105-4e24-8639-2c94728852ca", + "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480" }, "target": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "ede4baec-cd39-4aa2-a324-7924698c41f1" + "block": "832955cc-2b2d-4d84-95ac-6563d6332936", + "port": "eb7adce5-249d-4117-a18b-2eb7e5b8f397" }, - "vertices": [ - { - "x": 2336, - "y": 656 - } - ], "size": 12 }, { "source": { - "block": "e2f76902-e6d6-4c66-8aeb-49350deee410", - "port": "afe50480-6fe5-4ca6-b639-c730569a6a1d" + "block": "a93e6575-0aa5-4bde-9337-0b9a52eb4c8b", + "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { - "block": "af87608e-87c8-4275-becf-93e8d726c762", - "port": "in" + "block": "832955cc-2b2d-4d84-95ac-6563d6332936", + "port": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c" }, - "size": 8 + "vertices": [ + { + "x": 2352, + "y": 648 + } + ], + "size": 12 } ] } @@ -1304,144 +1298,118 @@ } } }, - "27b197ddfe28a2c9581a304b0ccd015cc15cf26e": { + "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { "package": { - "name": "PDM-1bit-DAC", - "version": "0.1", - "description": "Pulse-density modulated 1-bit DAC", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588403710364 + "name": "Constante-8bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", - "type": "basic.input", + "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "k", + "range": "[7:0]", + "size": 8 }, "position": { - "x": -8, - "y": 64 + "x": 960, + "y": 248 } }, { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "type": "basic.inputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 136, - "y": 64 + "x": 728, + "y": 128 } }, { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "type": "basic.outputLabel", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 912, - "y": 168 - } - }, - { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "x": 672, + "y": 248 }, - "position": { - "x": 128, - "y": 208 + "size": { + "width": 208, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "type": "basic.output", - "data": { - "name": "out" + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, - "position": { - "x": 1192, - "y": 256 + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", - "size": 12 - }, - "position": { - "x": 136, - "y": 320 - } - }, - { - "id": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "din", - "range": "[11:0]", - "oldBlockColor": "navy", - "size": 12 + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, - "position": { - "x": 344, - "y": 368 - } - }, + "target": { + "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "8aafb75b9836728c23e1b5b324baf01016dec3d5": { + "package": { + "name": "split-8-4", + "version": "0.1", + "description": "Split the 12-bit bus into a 8-bits bus and a 4-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "type": "basic.inputLabel", + "id": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", + "name": "o1", + "range": "[7:0]", "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, { "index": "7", "name": "", @@ -1483,32 +1451,18 @@ "value": "" } ], - "virtual": true + "virtual": false }, "position": { - "x": 824, - "y": 384 + "x": 552, + "y": 176 } }, { - "id": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", + "id": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", "type": "basic.input", "data": { - "name": "din", - "range": "[11:0]", - "clock": false, - "size": 12 - }, - "position": { - "x": 0, - "y": 520 - } - }, - { - "id": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "type": "basic.inputLabel", - "data": { - "name": "din", + "name": "i", "range": "[11:0]", "pins": [ { @@ -1572,551 +1526,665 @@ "value": "" } ], - "virtual": true, - "oldBlockColor": "navy", - "blockColor": "navy" + "virtual": false, + "clock": false }, "position": { - "x": 160, - "y": 520 + "x": 56, + "y": 200 } }, { - "id": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "type": "322ac6e3834f854f1ff8aaea07062751a7577cbf", - "position": { - "x": 288, - "y": 272 + "id": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 552, + "y": 232 } }, { - "id": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[11:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, "position": { - "x": 488, - "y": 288 + "x": 224, + "y": 176 }, "size": { - "width": 96, - "height": 64 + "width": 256, + "height": 112 } - }, + } + ], + "wires": [ { - "id": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "type": "117c154c9710e7538dc4149a2241691940cc4a09", - "position": { - "x": 688, - "y": 288 + "source": { + "block": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", + "port": "out" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 }, { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 1048, - "y": 256 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "port": "in" + }, + "size": 8 }, { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", - "type": "basic.info", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "d3448f0dba28d58a8dd626b44d43935458d4f967": { + "package": { + "name": "Generic-comp", + "version": "0.3", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1717073882324 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb293ecb-e039-46f8-925f-3846c61121cb", + "type": "basic.input", "data": { - "info": "Accumulator", - "readonly": true + "name": "", + "clock": true }, "position": { - "x": 304, - "y": 216 - }, - "size": { - "width": 120, - "height": 40 + "x": 176, + "y": 464 } }, { - "id": "12428847-e480-477f-be65-13b493b6c88c", - "type": "basic.info", + "id": "341ecb90-c222-498d-b060-03a84934ae42", + "type": "basic.inputLabel", "data": { - "info": "Add the incoming sample \nto the accumulator", - "readonly": true + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 464, - "y": 216 - }, - "size": { - "width": 200, - "height": 56 + "x": 320, + "y": 464 } }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", - "type": "basic.info", + "id": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", + "type": "basic.outputLabel", "data": { - "info": "Incoming sample", + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 904, + "y": 752 + } + }, + { + "id": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 520, + "y": 800 + } + }, + { + "id": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1224, + "y": 816 + } + }, + { + "id": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "type": "basic.constant", + "data": { + "name": "FULL_SCALE", + "value": "2**26", + "local": true + }, + "position": { + "x": 216, + "y": 736 + } + }, + { + "id": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "type": "basic.constant", + "data": { + "name": "sys", + "value": "12", + "local": false + }, + "position": { + "x": 368, + "y": 736 + } + }, + { + "id": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", + "position": { + "x": 864, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9911354d-905b-4a15-9145-f91f62b8cb74", + "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "position": { + "x": 320, + "y": 864 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7a1019da-b362-4368-8a7b-ebe37beaad69", + "type": "basic.info", + "data": { + "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", "readonly": true }, "position": { - "x": 48, - "y": 488 + "x": 320, + "y": 640 }, "size": { - "width": 152, - "height": 40 + "width": 376, + "height": 72 } }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", + "id": "7027d28e-a7d4-4956-806f-6c5ffb04e545", "type": "basic.info", "data": { - "info": "Accumulator overflow \ncarry set (MSB)", + "info": "## 1MHZ Heart", "readonly": true }, "position": { - "x": 696, - "y": 216 + "x": 568, + "y": 424 }, "size": { - "width": 184, - "height": 56 + "width": 136, + "height": 40 } }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", + "id": "0349028a-b6ab-4724-87cb-fa615235b14d", "type": "basic.info", "data": { - "info": "Pulse-density modulated \noutput signal", + "info": "Constant value: \nk = Full_scale / Sys_clock", "readonly": true }, "position": { - "x": 1184, - "y": 208 + "x": 424, + "y": 912 }, "size": { - "width": 200, - "height": 56 + "width": 224, + "height": 64 } }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", + "id": "342ebac8-1c7d-40a2-86c3-80fee0f97ad0", "type": "basic.info", "data": { - "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", "readonly": true }, "position": { - "x": 320, - "y": -256 + "x": 584, + "y": 744 }, "size": { - "width": 760, - "height": 272 + "width": 336, + "height": 56 } }, { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", + "id": "18c59370-73e4-4e97-bf75-08f720ef4b7a", "type": "basic.info", "data": { - "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "info": "The output is a tic", "readonly": true }, "position": { - "x": 1272, - "y": -248 + "x": 1080, + "y": 768 }, "size": { - "width": 496, - "height": 184 + "width": 184, + "height": 48 + } + }, + { + "id": "776614c6-7e90-4828-ac11-cf91158e0156", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1056, + "y": 816 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "type": "796c3e94f671052cf01acc3e9dc67782339a169e", + "position": { + "x": 648, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "block": "cb293ecb-e039-46f8-925f-3846c61121cb", "port": "out" }, "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "block": "341ecb90-c222-498d-b060-03a84934ae42", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" - }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", + "block": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "port": "outlabel" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "port": "out", - "size": 12 - }, - "target": { - "block": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "port": "inlabel" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "2708468d-1088-4570-be63-fb0d4799a941" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "block": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", "port": "outlabel" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "size": 12 + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "d3293383-456c-471c-974d-342cc97e652b", - "size": 12 + "block": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "port": "constant-out" }, "target": { - "block": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "port": "inlabel" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "56066111-a701-4e2c-b64c-aeed7831cf00" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "port": "outlabel" + "block": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "port": "constant-out" }, "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "size": 12 + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + "block": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "port": "in" }, - "vertices": [], - "size": 12 + "vertices": [] }, { "source": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" }, "target": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, - "vertices": [], - "size": 13 + "vertices": [] }, { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" }, "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" }, - "vertices": [] + "vertices": [], + "size": 26 }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "b528263e-f7ac-434f-b590-7de7a093d85c" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" }, - "vertices": [] + "vertices": [], + "size": 26 } ] } } }, - "322ac6e3834f854f1ff8aaea07062751a7577cbf": { + "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { "package": { - "name": "Registro-12bits-system", + "name": "split-1-25-bus", "version": "0.1", - "description": "Registro de 12 bits", + "description": "Split the 26bits into 1 bits an a 25-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 120, - "y": 192 - } - }, - { - "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", "type": "basic.output", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "name": "o1" }, "position": { - "x": 632, - "y": 248 + "x": 584, + "y": 144 } }, { - "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", + "name": "i", + "range": "[25:0]", "clock": false, - "size": 12 + "size": 26 }, "position": { - "x": 120, - "y": 296 + "x": 136, + "y": 200 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "o0", + "range": "[24:0]", + "size": 25 }, "position": { - "x": 376, - "y": 56 + "x": 592, + "y": 248 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "localparam N = 12;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o1 = i[25];\nassign o0 = i[24:0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "d", - "range": "[11:0]", - "size": 12 + "name": "i", + "range": "[25:0]", + "size": 26 } ], "out": [ { - "name": "q", - "range": "[11:0]", - "size": 12 + "name": "o1" + }, + { + "name": "o0", + "range": "[24:0]", + "size": 25 } ] } }, "position": { - "x": 280, + "x": 296, "y": 176 }, "size": { - "width": 288, - "height": 200 + "width": 208, + "height": 112 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", "port": "in" }, - "size": 12 + "size": 25 }, { "source": { - "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 12 + "size": 26 } ] } } }, - "29c9a45c6996a3804d02693a6f8a8daf6a157256": { + "88097a55204933bef05c6297042b1543bf8e94a9": { "package": { - "name": "sum-2op-12bits", + "name": "contant-division", "version": "0.1", - "description": "Sumador de dos operandos de 12 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Calculate a new constant value dividing k1 / k2", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588415989667 }, "design": { "graph": { "blocks": [ { - "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "type": "basic.input", + "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "type": "basic.output", "data": { "name": "", - "range": "[11:0]", + "range": "[25:0]", "pins": [ { - "index": "11", + "index": "25", "name": "", "value": "" }, { - "index": "10", + "index": "24", "name": "", "value": "" }, { - "index": "9", + "index": "23", "name": "", "value": "" }, { - "index": "8", + "index": "22", "name": "", "value": "" }, { - "index": "7", + "index": "21", "name": "", "value": "" }, { - "index": "6", + "index": "20", "name": "", "value": "" }, { - "index": "5", + "index": "19", "name": "", "value": "" }, { - "index": "4", + "index": "18", "name": "", "value": "" }, { - "index": "3", + "index": "17", "name": "", "value": "" }, { - "index": "2", + "index": "16", "name": "", "value": "" }, { - "index": "1", + "index": "15", "name": "", "value": "" }, { - "index": "0", + "index": "14", "name": "", "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 224, - "y": 176 - } - }, - { - "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "type": "basic.output", - "data": { - "name": "", - "range": "[12:0]", - "pins": [ + }, + { + "index": "13", + "name": "", + "value": "" + }, { "index": "12", "name": "", @@ -2186,1222 +2254,1350 @@ "virtual": false }, "position": { - "x": 672, - "y": 192 + "x": 856, + "y": 104 } }, { - "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "type": "basic.input", + "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "type": "basic.constant", "data": { - "name": "", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "k1", + "value": "12", + "local": false }, "position": { - "x": 224, - "y": 248 + "x": 424, + "y": -24 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "type": "basic.constant", + "data": { + "name": "k2", + "value": "12", + "local": false + }, + "position": { + "x": 624, + "y": -32 + } + }, + { + "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", "type": "basic.code", "data": { - "code": "assign s = a + b;", - "params": [], + "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", + "params": [ + { + "name": "K1" + }, + { + "name": "K2" + } + ], "ports": { - "in": [ - { - "name": "a", - "range": "[11:0]", - "size": 12 - }, - { - "name": "b", - "range": "[11:0]", - "size": 12 - } - ], + "in": [], "out": [ { - "name": "s", - "range": "[12:0]", - "size": 13 + "name": "k", + "range": "[25:0]", + "size": 26 } ] } }, "position": { - "x": 384, - "y": 192 - }, + "x": 368, + "y": 80 + }, "size": { - "width": 216, - "height": 64 + "width": 408, + "height": 104 } } ], "wires": [ { "source": { - "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "port": "out" + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "k" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "port": "in" }, - "size": 12 + "size": 26 }, { "source": { - "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "port": "out" + "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "port": "constant-out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 12 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K1" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "port": "constant-out" }, "target": { - "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "port": "in" - }, - "size": 13 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K2" + } } ] } } }, - "117c154c9710e7538dc4149a2241691940cc4a09": { + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { "package": { - "name": "split-1-12-bus", - "version": "0.1", - "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", "type": "basic.output", "data": { - "name": "o1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": false + "name": "nc" }, "position": { - "x": 584, - "y": 144 + "x": 840, + "y": 160 } }, { - "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "type": "basic.input", "data": { - "name": "i", - "range": "[12:0]", - "pins": [ - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "", "clock": false }, "position": { - "x": 144, - "y": 200 + "x": 152, + "y": 280 } }, { - "id": "d3293383-456c-471c-974d-342cc97e652b", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "type": "basic.output", "data": { - "name": "o0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "" }, "position": { - "x": 576, - "y": 240 + "x": 840, + "y": 400 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", "data": { - "code": "assign o1 = i[12];\nassign o0 = i[11:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[12:0]", - "size": 13 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 - } - ] - } + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 176, + "y": -16 }, "size": { - "width": 208, - "height": 112 + "width": 568, + "height": 80 } - } - ], - "wires": [ + }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "ecf75200-3425-42bf-b093-a4b731cadab6", - "port": "out" + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "position": { + "x": 168, + "y": 120 }, - "size": 13 + "size": { + "width": 96, + "height": 48 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true }, - "target": { - "block": "d3293383-456c-471c-974d-342cc97e652b", - "port": "in" + "position": { + "x": 328, + "y": 456 }, - "size": 12 - } - ] - } - } - }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { - "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 168, + "height": 48 + } + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { - "x": 192, - "y": 136 + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", "data": { - "name": "" + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true }, "position": { - "x": 680, - "y": 184 + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true }, "position": { - "x": 192, - "y": 232 + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 456, - "y": 64 + "x": 552, + "y": 280 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 384, - "y": 168 + "x": 320, + "y": 264 }, "size": { - "width": 232, - "height": 88 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" } } ] } } }, - "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "Constante-8bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 960, - "y": 248 + "x": 112, + "y": 72 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "" }, "position": { - "x": 728, - "y": 128 + "x": 560, + "y": 72 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a" + } + ], "out": [ { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "q" } ] } }, "position": { - "x": 672, - "y": 248 + "x": 256, + "y": 48 }, "size": { - "width": 208, - "height": 64 + "width": 256, + "height": 104 } - } - ], - "wires": [ + }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 } }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", - "port": "in" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, - "size": 8 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "b874a1c418f63978103a0af886f5f5a730f47061": { + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { "package": { - "name": "Generic-comp", - "version": "0.1", - "description": "Componente genérico", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588419319540 + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "" }, "position": { - "x": 112, - "y": -160 + "x": 96, + "y": 56 } }, { - "id": "3c21d0b8-1500-4302-8605-4d6ed5629399", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "" }, "position": { - "x": 256, - "y": -160 + "x": 600, + "y": 96 } }, { - "id": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "type": "basic.outputLabel", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "" }, "position": { - "x": 840, + "x": 96, "y": 128 } }, { - "id": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "type": "basic.outputLabel", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 456, - "y": 176 + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { + "package": { + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 1160, - "y": 192 + "x": 816, + "y": 112 } }, { - "id": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "type": "basic.constant", + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", "data": { - "name": "FULL_SCALE", - "value": "2**26", - "local": true + "name": "", + "clock": true }, "position": { - "x": 152, - "y": 112 + "x": 208, + "y": 184 } }, { - "id": "608882f4-bffa-4cac-940f-99f60d98ad53", - "type": "basic.constant", + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", "data": { - "name": "sys", - "value": "12", - "local": false + "name": "" }, "position": { - "x": 304, - "y": 112 + "x": 816, + "y": 232 } }, { - "id": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 992, - "y": 192 + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 208, + "y": 280 } }, { - "id": "cc596b64-394a-42a6-8d89-6c3835af332b", - "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", - "position": { - "x": 800, - "y": 224 + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 512, + "y": 64 } }, { - "id": "67203b56-8451-47ba-959a-75491b1726b7", - "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, "position": { - "x": 256, - "y": 240 + "x": 384, + "y": 168 }, "size": { - "width": 96, - "height": 64 + "width": 352, + "height": 192 } }, { - "id": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "type": "81a70a6cf9e19133ae28596244673dd8b334975a", + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, "position": { - "x": 584, - "y": 224 + "x": 488, + "y": 32 }, "size": { - "width": 96, - "height": 64 + "width": 208, + "height": 40 } }, { - "id": "32f7ed09-9081-4108-bb42-b2a237a9f44f", + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", "type": "basic.info", "data": { - "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", + "info": "System clock", "readonly": true }, "position": { - "x": 256, - "y": 16 + "x": 208, + "y": 160 }, "size": { - "width": 376, - "height": 72 + "width": 120, + "height": 32 } }, { - "id": "c08702d3-eaf3-4131-a428-78c06a027c37", + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", "type": "basic.info", "data": { - "info": "## 1MHZ Heart", + "info": "Input data", "readonly": true }, "position": { - "x": 504, - "y": -200 + "x": 224, + "y": 256 }, "size": { - "width": 136, + "width": 112, "height": 40 } }, { - "id": "303020b0-64ad-4fe4-ac69-6d2d657cae25", + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", "type": "basic.info", "data": { - "info": "Constant value: \nk = Full_scale / Sys_clock", + "info": "Output", "readonly": true }, "position": { - "x": 360, - "y": 288 + "x": 840, + "y": 200 }, "size": { - "width": 224, - "height": 64 + "width": 80, + "height": 40 } }, { - "id": "b3ce1313-5336-44c8-854a-87ac1797e696", + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", "type": "basic.info", "data": { - "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", "readonly": true }, "position": { - "x": 520, - "y": 120 + "x": 144, + "y": -136 }, "size": { - "width": 336, - "height": 56 + "width": 488, + "height": 104 } }, { - "id": "ff952d5a-c406-4ca7-9cb8-1a31ac75f4f6", + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", "type": "basic.info", "data": { - "info": "The output is a tic", + "info": "Not connected", "readonly": true }, "position": { - "x": 1016, - "y": 144 + "x": 808, + "y": 88 }, "size": { - "width": 184, - "height": 48 + "width": 176, + "height": 32 } } ], "wires": [ { "source": { - "block": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", "port": "out" }, "target": { - "block": "3c21d0b8-1500-4302-8605-4d6ed5629399", - "port": "inlabel" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" }, - "vertices": [] + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } }, { "source": { - "block": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "port": "outlabel" + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" } }, { "source": { - "block": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "port": "outlabel" + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "4196184b-4a60-493b-bcc6-c95958483683" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "796c3e94f671052cf01acc3e9dc67782339a169e": { + "package": { + "name": "26-bits-accumulator", + "version": "0.2", + "description": "26-bits accumulator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 48, + "y": 40 + } + }, + { + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 192, + "y": 40 + } + }, + { + "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 1000, + "y": 136 + } + }, + { + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 264, + "y": 192 + } + }, + { + "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 256, + "y": 256 + } + }, + { + "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 824, + "y": 272 + } + }, + { + "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "type": "basic.input", + "data": { + "name": "inc", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 16, + "y": 376 + } + }, + { + "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "position": { + "x": 648, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } }, + { + "id": "1df42869-92b9-4d52-9f23-8c11c353e787", + "type": "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6", + "position": { + "x": 432, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "port": "constant-out" + "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "port": "outlabel" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "56066111-a701-4e2c-b64c-aeed7831cf00" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "554e0534-d5da-4c8a-a78e-182a3698839e", + "size": 26 }, - "vertices": [] + "size": 26 }, { "source": { - "block": "608882f4-bffa-4cac-940f-99f60d98ad53", - "port": "constant-out" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "size": 26 }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" + "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "port": "inlabel" }, - "vertices": [] + "size": 26 }, { "source": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" }, "target": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "ddb7faf8-5783-464a-806d-e7cb3e38683b" + } + }, + { + "source": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" + }, + "target": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "0c88add0-cbf5-4d14-967b-417400538a29" }, "vertices": [], "size": 26 }, { "source": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "b528263e-f7ac-434f-b590-7de7a093d85c" + "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "port": "out" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" }, - "vertices": [], "size": 26 }, { "source": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", "port": "in" - } + }, + "size": 26 } ] } } }, - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { + "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { "package": { - "name": "Subida", + "name": "sum-2op-26bits", "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + "description": "24-bits adder with two operands", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4196184b-4a60-493b-bcc6-c95958483683", + "id": "0c88add0-cbf5-4d14-967b-417400538a29", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 152, - "y": 176 + "x": 192, + "y": 144 } }, { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", + "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 624, - "y": 200 + "x": 672, + "y": 192 } }, { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", + "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", "type": "basic.input", "data": { "name": "", - "clock": false + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 152, - "y": 232 + "x": 192, + "y": 256 } }, { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", + "code": "assign s = a + b;", "params": [], "ports": { "in": [ { - "name": "clk" + "name": "a", + "range": "[25:0]", + "size": 26 }, { - "name": "i" + "name": "b", + "range": "[25:0]", + "size": 26 } ], "out": [ { - "name": "o" + "name": "s", + "range": "[25:0]", + "size": 26 } ] } }, "position": { - "x": 336, - "y": 176 + "x": 384, + "y": 192 }, "size": { - "width": 232, - "height": 112 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", + "block": "0c88add0-cbf5-4d14-967b-417400538a29", "port": "out" }, "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 26 }, { "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" + "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "port": "out" }, "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "port": "in" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 26 }, { "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" - } + "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "port": "in" + }, + "size": 26 } ] } } }, - "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { + "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6": { "package": { - "name": "split-1-25-bus", - "version": "0.1", - "description": "Split the 26bits into 1 bits an a 25-bits bus", + "name": "26-Sys-reg", + "version": "0.8", + "description": "26-Sys-reg: 26 bits system register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "e1a94202-b953-492e-98ef-7f33548e273b", "type": "basic.output", "data": { - "name": "o1" + "name": "nc" }, "position": { - "x": 584, + "x": 968, + "y": 48 + } + }, + { + "id": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 384, + "y": 88 + } + }, + { + "id": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 968, "y": 144 } }, { - "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "id": "554e0534-d5da-4c8a-a78e-182a3698839e", "type": "basic.input", "data": { - "name": "i", + "name": "", "range": "[25:0]", "clock": false, "size": 26 }, "position": { - "x": 136, + "x": 376, "y": 200 } }, { - "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "type": "basic.output", + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", "data": { - "name": "o0", - "range": "[24:0]", - "size": 25 + "name": "", + "value": "0", + "local": false }, "position": { - "x": 592, - "y": 248 + "x": 664, + "y": -48 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "a488e8ac-cd04-4656-9880-218437a4aba0", "type": "basic.code", "data": { - "code": "assign o1 = i[25];\nassign o0 = i[24:0];", - "params": [], "ports": { "in": [ { - "name": "i", + "name": "clk" + }, + { + "name": "d", "range": "[25:0]", "size": 26 } ], "out": [ { - "name": "o1" - }, - { - "name": "o0", - "range": "[24:0]", - "size": 25 + "name": "q", + "range": "[25:0]", + "size": 26 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 26;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n\n" }, "position": { - "x": 296, - "y": 176 + "x": 536, + "y": 64 }, "size": { - "width": 208, - "height": 112 + "width": 352, + "height": 224 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" }, "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "INI" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "q" }, "target": { - "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "block": "adfb2cfe-8521-4f06-ac72-3d200ba84185", "port": "in" }, - "size": 25 + "size": 26 }, { "source": { - "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "block": "ddb7faf8-5783-464a-806d-e7cb3e38683b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "clk" + } + }, + { + "source": { + "block": "554e0534-d5da-4c8a-a78e-182a3698839e", + "port": "out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "d" }, "size": 26 } @@ -3409,509 +3605,310 @@ } } }, - "88097a55204933bef05c6297042b1543bf8e94a9": { + "a7499d579ce034fce2c6c201134ee0acbd4232cd": { "package": { - "name": "contant-division", - "version": "0.1", - "description": "Calculate a new constant value dividing k1 / k2", + "name": "24-bits-accumulator", + "version": "0.2", + "description": "24-bits accumulator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588415989667 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 }, "design": { "graph": { "blocks": [ { - "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 48, + "y": 40 + } + }, + { + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 192, + "y": 40 + } + }, + { + "id": "bf631fb2-9575-442d-ae82-71dd0be21888", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "range": "[23:0]", + "size": 24 }, "position": { - "x": 856, - "y": 104 + "x": 680, + "y": 152 } }, { - "id": "56066111-a701-4e2c-b64c-aeed7831cf00", - "type": "basic.constant", + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", "data": { - "name": "k1", - "value": "12", - "local": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 424, - "y": -24 + "x": 312, + "y": 176 } }, { - "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "type": "basic.constant", + "id": "ca7c2d52-5293-4143-9758-468e17d643c2", + "type": "basic.outputLabel", "data": { - "name": "k2", - "value": "12", - "local": false + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 }, "position": { - "x": 624, - "y": -32 + "x": 280, + "y": 256 } }, { - "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "type": "basic.code", + "id": "77d4f680-5d59-458b-8b56-69633057a69c", + "type": "basic.inputLabel", "data": { - "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", - "params": [ - { - "name": "K1" - }, - { - "name": "K2" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[25:0]", - "size": 26 - } - ] - } + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 }, "position": { - "x": 368, - "y": 80 + "x": 824, + "y": 272 + } + }, + { + "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "type": "basic.input", + "data": { + "name": "inc", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 48, + "y": 336 + } + }, + { + "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "type": "basic.input", + "data": { + "name": "add", + "clock": false + }, + "position": { + "x": 48, + "y": 416 + } + }, + { + "id": "a65b6302-05d5-4df6-b308-44ec4b939901", + "type": "380890f86e3a886844470fb5c8431265046f197c", + "position": { + "x": 648, + "y": 272 }, "size": { - "width": 408, - "height": 104 + "width": 96, + "height": 64 + } + }, + { + "id": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "type": "750d007793329bb1f20002d08e38074d9bdd4f76", + "position": { + "x": 448, + "y": 240 + }, + "size": { + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "k" + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" }, "target": { - "block": "b528263e-f7ac-434f-b590-7de7a093d85c", - "port": "in" + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" }, - "size": 26 + "vertices": [] }, { "source": { - "block": "56066111-a701-4e2c-b64c-aeed7831cf00", - "port": "constant-out" + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K1" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" } }, { "source": { - "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "port": "constant-out" + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "size": 24 }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K2" + "block": "77d4f680-5d59-458b-8b56-69633057a69c", + "port": "inlabel" + }, + "size": 24 + }, + { + "source": { + "block": "ca7c2d52-5293-4143-9758-468e17d643c2", + "port": "outlabel" + }, + "target": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "size": 24 + }, + "size": 24 + }, + { + "source": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" + }, + "target": { + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + }, + "size": 24 + }, + { + "source": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" + }, + "target": { + "block": "bf631fb2-9575-442d-ae82-71dd0be21888", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "port": "out" + }, + "target": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } + }, + { + "source": { + "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "port": "out" + }, + "target": { + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "a8a28134-418c-49be-9550-f546432bebc9" + }, + "size": 16 } ] } } }, - "81a70a6cf9e19133ae28596244673dd8b334975a": { + "380890f86e3a886844470fb5c8431265046f197c": { "package": { - "name": "26-bits-accumulator", + "name": "sum-24-16->24bits", "version": "0.1", - "description": "26-bits accumulator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 48, - "y": 40 - } - }, - { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 192, - "y": 40 + "x": 336, + "y": 136 } }, { - "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "size": 26 - }, - "position": { - "x": 1000, - "y": 136 - } - }, - { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "range": "[23:0]", + "size": 24 }, "position": { - "x": 280, - "y": 208 + "x": 680, + "y": 192 } }, { - "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "type": "basic.inputLabel", + "id": "a8a28134-418c-49be-9550-f546432bebc9", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 }, "position": { - "x": 824, + "x": 48, "y": 272 } }, { - "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "size": 26 - }, + "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "type": "0b81b8e1e01d92b35ab459001f261115129544e8", "position": { - "x": 272, - "y": 272 - } - }, - { - "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "type": "basic.input", - "data": { - "name": "inc", - "range": "[25:0]", - "clock": false, - "size": 26 + "x": 320, + "y": 256 }, - "position": { - "x": 16, - "y": 376 + "size": { + "width": 96, + "height": 64 } }, { - "id": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "type": "335f07bcdf418bb67136b63c8cfc389233bbc50d", + "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", "position": { - "x": 448, - "y": 256 + "x": 144, + "y": 208 }, "size": { "width": 96, @@ -3919,11 +3916,11 @@ } }, { - "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "id": "899734d5-1063-4268-9135-20b5aff4c0fb", + "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", "position": { - "x": 648, - "y": 272 + "x": 504, + "y": 192 }, "size": { "width": 96, @@ -3934,243 +3931,272 @@ "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "block": "a8a28134-418c-49be-9550-f546432bebc9", "port": "out" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "port": "outlabel" + "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "size": 26 + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" }, - "size": 26 + "size": 8 }, { "source": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "size": 26 - }, - "target": { - "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "port": "inlabel" - }, - "size": 26 - }, - { - "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" - }, - "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" }, "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "0c88add0-cbf5-4d14-967b-417400538a29" + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "port": "in" }, - "vertices": [], - "size": 26 + "size": 24 }, { "source": { - "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", "port": "out" }, "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" }, - "size": 26 + "size": 24 }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" }, "target": { - "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", - "port": "in" + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" }, - "size": 26 + "size": 24 } ] } } }, - "335f07bcdf418bb67136b63c8cfc389233bbc50d": { + "0b81b8e1e01d92b35ab459001f261115129544e8": { "package": { - "name": "26-bits-register", + "name": "Agregador-8-16-bus", "version": "0.1", - "description": "24-bits system register", + "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 88, - "y": 192 + "x": 112, + "y": 144 } }, { - "id": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", "type": "basic.output", "data": { - "name": "", - "range": "[25:0]", - "size": 26 + "name": "o", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 640, - "y": 248 + "x": 608, + "y": 200 } }, { - "id": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", "type": "basic.input", "data": { - "name": "", - "range": "[25:0]", + "name": "i0", + "range": "[15:0]", "clock": false, - "size": 26 - }, - "position": { - "x": 88, - "y": 296 - } - }, - { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "size": 16 }, "position": { - "x": 376, - "y": 56 + "x": 128, + "y": 288 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "localparam N = 26;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "i1", + "range": "[7:0]", + "size": 8 }, { - "name": "d", - "range": "[25:0]", - "size": 26 + "name": "i0", + "range": "[15:0]", + "size": 16 } ], "out": [ { - "name": "q", - "range": "[25:0]", - "size": 26 + "name": "o", + "range": "[23:0]", + "size": 24 } ] } }, "position": { - "x": 280, + "x": 296, "y": 176 }, "size": { - "width": 288, - "height": 200 + "width": 224, + "height": 112 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 16 }, { "source": { - "block": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "port": "in" }, - "size": 26 + "size": 24 + } + ] + } + } + }, + "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { + "package": { + "name": "Valor_0_8bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 8 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "type": "basic.output", + "data": { + "name": "k", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 928, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", "port": "in" }, - "size": 26 + "size": 8 } ] } } }, - "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { + "25e6ad7722c5f4707b002d30cf0f2111ba416525": { "package": { - "name": "sum-2op-26bits", + "name": "sum-2op-24bits", "version": "0.1", - "description": "24-bits adder with two operands", + "description": "Sumador de dos operandos de 24bits", "author": "Juan González-Gómez", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, @@ -4178,44 +4204,44 @@ "graph": { "blocks": [ { - "id": "0c88add0-cbf5-4d14-967b-417400538a29", + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", "type": "basic.input", "data": { "name": "", - "range": "[25:0]", + "range": "[23:0]", "clock": false, - "size": 26 + "size": 24 }, "position": { - "x": 192, - "y": 144 + "x": 200, + "y": 136 } }, { - "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "size": 26 + "range": "[23:0]", + "size": 24 }, "position": { - "x": 672, + "x": 680, "y": 192 } }, { - "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", "type": "basic.input", "data": { "name": "", - "range": "[25:0]", + "range": "[23:0]", "clock": false, - "size": 26 + "size": 24 }, "position": { - "x": 192, - "y": 256 + "x": 208, + "y": 232 } }, { @@ -4228,20 +4254,20 @@ "in": [ { "name": "a", - "range": "[25:0]", - "size": 26 + "range": "[23:0]", + "size": 24 }, { "name": "b", - "range": "[25:0]", - "size": 26 + "range": "[23:0]", + "size": 24 } ], "out": [ { "name": "s", - "range": "[25:0]", - "size": 26 + "range": "[23:0]", + "size": 24 } ] } @@ -4259,25 +4285,25 @@ "wires": [ { "source": { - "block": "0c88add0-cbf5-4d14-967b-417400538a29", + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", "port": "out" }, "target": { "block": "a8d15f9d-bba5-432f-b698-17964638c83a", "port": "a" }, - "size": 26 + "size": 24 }, { "source": { - "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", "port": "out" }, "target": { "block": "a8d15f9d-bba5-432f-b698-17964638c83a", "port": "b" }, - "size": 26 + "size": 24 }, { "source": { @@ -4285,437 +4311,344 @@ "port": "s" }, "target": { - "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", "port": "in" }, - "size": 26 + "size": 24 } ] } } }, - "772f42d85230a43faf163474e79bd25bdd1f2aba": { + "750d007793329bb1f20002d08e38074d9bdd4f76": { "package": { - "name": "24-bits-accumulator CLONE", - "version": "0.1", - "description": "24-bits accumulator", + "name": "24-Reg", + "version": "0.8", + "description": "24-Reg: 24 bits Register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "id": "c39743c3-1b76-483c-8613-15f2782d0809", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 48, - "y": 40 + "x": 568, + "y": 144 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 192, - "y": 40 + "x": 1136, + "y": 240 } }, { - "id": "bf631fb2-9575-442d-ae82-71dd0be21888", - "type": "basic.output", + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "type": "basic.input", "data": { "name": "", "range": "[23:0]", + "clock": false, "size": 24 }, "position": { - "x": 680, - "y": 152 + "x": 568, + "y": 240 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "load", + "clock": false }, "position": { - "x": 312, - "y": 176 + "x": 568, + "y": 328 } }, { - "id": "ca7c2d52-5293-4143-9758-468e17d643c2", - "type": "basic.outputLabel", + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "type": "basic.constant", "data": { - "name": "next", - "range": "[23:0]", - "blockColor": "fuchsia", - "size": 24 + "name": "", + "value": "0", + "local": false }, "position": { - "x": 280, - "y": 256 + "x": 848, + "y": 24 } }, { - "id": "77d4f680-5d59-458b-8b56-69633057a69c", - "type": "basic.inputLabel", + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "type": "basic.code", "data": { - "name": "next", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[23:0]", + "size": 24 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[23:0]", + "size": 24 + } + ] + }, + "params": [ { - "index": "0", - "name": "", - "value": "" + "name": "INI" } ], - "virtual": true, - "blockColor": "fuchsia" + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 24;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" }, "position": { - "x": 824, - "y": 272 + "x": 728, + "y": 128 + }, + "size": { + "width": 328, + "height": 280 } - }, + } + ], + "wires": [ { - "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "type": "basic.input", - "data": { - "name": "inc", - "range": "[15:0]", - "clock": false, - "size": 16 + "source": { + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "port": "constant-out" }, - "position": { - "x": 48, - "y": 336 - } - }, - { - "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "type": "basic.input", - "data": { - "name": "add", - "clock": false - }, - "position": { - "x": 48, - "y": 416 - } - }, - { - "id": "a65b6302-05d5-4df6-b308-44ec4b939901", - "type": "380890f86e3a886844470fb5c8431265046f197c", - "position": { - "x": 648, - "y": 272 + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "INI" }, - "size": { - "width": 96, - "height": 64 - } + "vertices": [] }, - { - "id": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "type": "c71699e00fcda7699d0368eb0de247bbddbcd395", - "position": { - "x": 448, - "y": 240 - }, - "size": { - "width": 96, - "height": 96 - } - } - ], - "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "block": "c39743c3-1b76-483c-8613-15f2782d0809", "port": "out" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" }, "vertices": [] }, { "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" } }, { "source": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "size": 24 - }, - "target": { - "block": "77d4f680-5d59-458b-8b56-69633057a69c", - "port": "inlabel" - }, - "size": 24 - }, - { - "source": { - "block": "ca7c2d52-5293-4143-9758-468e17d643c2", - "port": "outlabel" - }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "size": 24 - }, - "size": 24 - }, - { - "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" }, "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" }, "size": 24 }, { "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" }, "target": { - "block": "bf631fb2-9575-442d-ae82-71dd0be21888", + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", "port": "in" }, "size": 24 - }, - { - "source": { - "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "port": "out" - }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "065ea371-8398-43b3-8341-287c234a3acb" - } - }, - { - "source": { - "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "port": "out" - }, - "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "a8a28134-418c-49be-9550-f546432bebc9" - }, - "size": 16 } ] } } }, - "380890f86e3a886844470fb5c8431265046f197c": { + "9bcc065f9661d93d2037a3bccf05b884964e1f4b": { "package": { - "name": "sum-24-16->24bits", - "version": "0.1", - "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "PDM-1bit-DAC", + "version": "0.3", + "description": "Pulse-density modulated 1-bit DAC", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588403710364 }, "design": { "graph": { "blocks": [ { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "id": "ef451927-f90b-424b-ad34-6ac114143513", "type": "basic.input", "data": { "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "clock": true }, "position": { - "x": 336, - "y": 136 + "x": 56, + "y": 1072 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "id": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 200, + "y": 1072 + } + }, + { + "id": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 976, + "y": 1176 + } + }, + { + "id": "309765f3-0e44-49b6-98a7-c4490b748153", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 192, + "y": 1216 + } + }, + { + "id": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", "type": "basic.output", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "name": "out" }, "position": { - "x": 680, - "y": 192 + "x": 1256, + "y": 1280 } }, { - "id": "a8a28134-418c-49be-9550-f546432bebc9", + "id": "626fe170-4ece-4558-9a88-104a4ad5e559", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 200, + "y": 1328 + } + }, + { + "id": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "size": 12 + }, + "position": { + "x": 408, + "y": 1376 + } + }, + { + "id": "d8912692-c881-4f65-afd4-ef323a15a78d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 888, + "y": 1392 + } + }, + { + "id": "56c80258-8515-47c4-98b9-af247b8fa77b", "type": "basic.input", "data": { - "name": "", - "range": "[15:0]", + "name": "din", + "range": "[11:0]", "clock": false, - "size": 16 + "size": 12 }, "position": { - "x": 48, - "y": 272 + "x": 64, + "y": 1528 } }, { - "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "type": "0b81b8e1e01d92b35ab459001f261115129544e8", + "id": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "type": "basic.inputLabel", + "data": { + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "blockColor": "navy", + "size": 12 + }, "position": { - "x": 320, - "y": 256 + "x": 224, + "y": 1528 + } + }, + { + "id": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "position": { + "x": 552, + "y": 1296 }, "size": { "width": 96, @@ -4723,11 +4656,11 @@ } }, { - "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", + "id": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "type": "117c154c9710e7538dc4149a2241691940cc4a09", "position": { - "x": 144, - "y": 208 + "x": 752, + "y": 1296 }, "size": { "width": 96, @@ -4735,661 +4668,377 @@ } }, { - "id": "899734d5-1063-4268-9135-20b5aff4c0fb", - "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", + "id": "3f812810-f7f6-44f4-b61d-0771051d1786", + "type": "basic.info", + "data": { + "info": "Accumulator", + "readonly": true + }, "position": { - "x": 504, - "y": 192 + "x": 368, + "y": 1224 }, "size": { - "width": 96, - "height": 64 + "width": 120, + "height": 40 } - } - ], - "wires": [ + }, { - "source": { - "block": "a8a28134-418c-49be-9550-f546432bebc9", - "port": "out" + "id": "28dbb1a3-bb5f-451d-8c33-a1384957e4fb", + "type": "basic.info", + "data": { + "info": "Add the incoming sample \nto the accumulator", + "readonly": true }, - "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" + "position": { + "x": 528, + "y": 1224 }, - "size": 16 + "size": { + "width": 200, + "height": 56 + } }, { - "source": { - "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" + "id": "8136d2e2-29e8-49a3-90c9-eab2965af74e", + "type": "basic.info", + "data": { + "info": "Incoming sample", + "readonly": true }, - "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" + "position": { + "x": 112, + "y": 1496 }, - "size": 8 + "size": { + "width": 152, + "height": 40 + } }, { - "source": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" + "id": "1276c60c-b629-443b-9d74-635583ec44f0", + "type": "basic.info", + "data": { + "info": "Accumulator overflow \ncarry set (MSB)", + "readonly": true }, - "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "port": "in" + "position": { + "x": 760, + "y": 1224 }, - "size": 24 + "size": { + "width": 184, + "height": 56 + } }, { - "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", - "port": "out" + "id": "344e3fb1-c7dd-4ec8-92f4-c930614ff803", + "type": "basic.info", + "data": { + "info": "Pulse-density modulated \noutput signal", + "readonly": true }, - "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + "position": { + "x": 1248, + "y": 1216 }, - "size": 24 + "size": { + "width": 200, + "height": 56 + } }, { - "source": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" - }, - "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" - }, - "size": 24 - } - ] - } - } - }, - "0b81b8e1e01d92b35ab459001f261115129544e8": { - "package": { - "name": "Agregador-8-16-bus", - "version": "0.1", - "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", - "type": "basic.input", + "id": "ad75e91f-5736-4b0a-9305-0228207b976a", + "type": "basic.info", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "readonly": true }, "position": { - "x": 112, - "y": 144 + "x": 384, + "y": 752 + }, + "size": { + "width": 760, + "height": 272 } }, { - "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", - "type": "basic.output", + "id": "84f30124-bb0d-465a-91f3-789d855aaba3", + "type": "basic.info", "data": { - "name": "o", - "range": "[23:0]", - "size": 24 + "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "readonly": true }, "position": { - "x": 608, - "y": 200 + "x": 1336, + "y": 760 + }, + "size": { + "width": 496, + "height": 184 } }, { - "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", - "type": "basic.input", - "data": { - "name": "i0", - "range": "[15:0]", - "clock": false, - "size": 16 - }, + "id": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 128, - "y": 288 + "x": 1112, + "y": 1264 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[15:0]", - "size": 16 - } - ], - "out": [ - { - "name": "o", - "range": "[23:0]", - "size": 24 - } - ] - } - }, + "id": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "type": "4a3e5082790168ab13226b6a032ed6e45e656420", "position": { - "x": 296, - "y": 176 + "x": 352, + "y": 1280 }, "size": { - "width": 224, - "height": 112 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "block": "ef451927-f90b-424b-ad34-6ac114143513", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "block": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "port": "inlabel" }, - "size": 8 + "vertices": [] }, { "source": { - "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", - "port": "out" + "block": "56c80258-8515-47c4-98b9-af247b8fa77b", + "port": "out", + "size": 12 }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "block": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "port": "inlabel" }, - "size": 16 + "vertices": [], + "size": 12 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "port": "outlabel" }, "target": { - "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", - "port": "in" - }, - "size": 24 - } - ] - } - } - }, - "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { - "package": { - "name": "Valor_0_8bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 8 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", - "type": "basic.output", - "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "size": 12 }, - "position": { - "x": 928, - "y": 256 - } + "vertices": [], + "size": 12 }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": true + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "d3293383-456c-471c-974d-342cc97e652b", + "size": 12 }, - "position": { - "x": 728, - "y": 152 - } + "target": { + "block": "d8912692-c881-4f65-afd4-ef323a15a78d", + "port": "inlabel" + }, + "vertices": [], + "size": 12 }, { - "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", - "position": { - "x": 728, - "y": 256 + "source": { + "block": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [] + }, { "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "port": "constant-out" + "block": "309765f3-0e44-49b6-98a7-c4490b748153", + "port": "outlabel" }, "target": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + }, + "vertices": [] }, { "source": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + "block": "626fe170-4ece-4558-9a88-104a4ad5e559", + "port": "outlabel" }, "target": { - "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", - "port": "in" - }, - "size": 8 - } - ] - } - } - }, - "25e6ad7722c5f4707b002d30cf0f2111ba416525": { - "package": { - "name": "sum-2op-24bits", - "version": "0.1", - "description": "Sumador de dos operandos de 24bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", - "type": "basic.input", - "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "size": 12 }, - "position": { - "x": 200, - "y": 136 - } + "vertices": [], + "size": 12 }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "type": "basic.output", - "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "source": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" }, - "position": { - "x": 680, - "y": 192 - } - }, - { - "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", - "type": "basic.input", - "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "target": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "ecf75200-3425-42bf-b093-a4b731cadab6" }, - "position": { - "x": 208, - "y": 232 - } + "vertices": [], + "size": 13 }, - { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", - "type": "basic.code", - "data": { - "code": "assign s = a + b;", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[23:0]", - "size": 24 - }, - { - "name": "b", - "range": "[23:0]", - "size": 24 - } - ], - "out": [ - { - "name": "s", - "range": "[23:0]", - "size": 24 - } - ] - } - }, - "position": { - "x": 384, - "y": 192 - }, - "size": { - "width": 216, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", - "port": "out" + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "port": "in" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", - "port": "out" + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" }, "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "port": "in" + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" }, - "size": 24 + "vertices": [], + "size": 12 } ] } } }, - "c71699e00fcda7699d0368eb0de247bbddbcd395": { + "29c9a45c6996a3804d02693a6f8a8daf6a157256": { "package": { - "name": "Registro-24", - "version": "0.1-c1588350420371", - "description": "Registro de 24 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "name": "sum-2op-12bits", + "version": "0.1", + "description": "Sumador de dos operandos de 12 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, "position": { - "x": 80, + "x": 224, "y": 176 } }, { - "id": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", + "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", "type": "basic.output", "data": { "name": "", - "range": "[23:0]", - "size": 24 - }, - "position": { - "x": 632, - "y": 248 - } - }, - { - "id": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "type": "basic.input", - "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 - }, - "position": { - "x": 80, - "y": 248 - } - }, - { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", - "data": { - "name": "load", - "clock": false - }, - "position": { - "x": 80, - "y": 312 - } - }, - { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, - "position": { - "x": 376, - "y": 56 - } - }, - { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 24;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[23:0]", - "size": 24 - }, - { - "name": "load" - } - ], - "out": [ - { - "name": "q", - "range": "[23:0]", - "size": 24 - } - ] - } - }, - "position": { - "x": 280, - "y": 176 - }, - "size": { - "width": 288, - "height": 200 - } - } - ], - "wires": [ - { - "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" - } - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" - }, - "target": { - "block": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", - "port": "in" - }, - "size": 24 - }, - { - "source": { - "block": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 24 - } - ] - } - } - }, - "be3c9cd53a16ff19140224bb4472883b9eebb8fc": { - "package": { - "name": "tone_generator_pulse", - "version": "0.1", - "description": "Generate a squeare signal (pulse) from the timebase", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20id=%22Ebene_1%22%20width=%22202.334%22%20height=%22161.714%22%20viewBox=%220%200%20202.33365%20161.71387%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path998%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-62%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-99%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321-3%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339-0%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1228%22/%3E%3Ccircle%20id=%22gpDot%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt4%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5%22%20id=%22gpPt6%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt8%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt9%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9%22%20id=%22gpPt10%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt11%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11%22%20id=%22gpPt12%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpDot-3%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0-6%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1-7%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2-5%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt4-5%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5-6%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5-6%22%20id=%22gpPt6-2%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7-9%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt8-1%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt9-2%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9-2%22%20id=%22gpPt10-7%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt11-0%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11-0%22%20id=%22gpPt12-9%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20r=%2236.696%22%20cy=%22123.902%22%20cx=%2237.811%22%20id=%22path1606%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22144.44%22%20y=%22144.225%22%20id=%22text849%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20id=%22tspan847%22%20x=%22144.44%22%20y=%22144.225%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3EPulse%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-163.768%22%20y=%22378.437%22%20id=%22text1419%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20d=%22M844.847%2091.713%22%20id=%22path2375%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M454.235-55.93699999999998%22%20id=%22path2399%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M519.337-55.93699999999998%22%20id=%22path2411%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M844.847-55.93699999999998%22%20id=%22path2471%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20id=%22path2487%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22graph%22%20d=%22M22.832%2066.689V1.413h42.953v65.276h38.981V1.413h42.952v65.276h42.387%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", - "otid": 1588447340837 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "42537563-f4f5-4a36-abbb-3a8111a7cd98", - "type": "basic.input", - "data": { - "name": "amp", - "range": "[7:0]", + "range": "[12:0]", "pins": [ { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", + "index": "12", "name": "", "value": "" }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 136, - "y": -128 - } - }, - { - "id": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", - "type": "basic.output", - "data": { - "name": "sample", - "range": "[11:0]", - "pins": [ { "index": "11", "name": "", @@ -5454,77 +5103,17 @@ "virtual": false }, "position": { - "x": 944, - "y": -96 + "x": 672, + "y": 192 } }, { - "id": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", "type": "basic.input", "data": { - "name": "phase", - "range": "[23:0]", + "name": "", + "range": "[11:0]", "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, { "index": "11", "name": "", @@ -5590,339 +5179,555 @@ "clock": false }, "position": { - "x": 144, - "y": 168 - } - }, - { - "id": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "type": "704dd5f8afe62655a24799888c6f808656700e78", - "position": { - "x": 752, - "y": -96 - }, - "size": { - "width": 96, - "height": 64 + "x": 224, + "y": 248 } }, { - "id": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", - "position": { - "x": 536, - "y": -112 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", - "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", - "position": { - "x": 384, - "y": -48 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "type": "770c28026476212f3ed069d6ca576d596bfda158", - "position": { - "x": 304, - "y": 168 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a015b861-c345-41dd-972a-125df93d8320", - "type": "basic.info", - "data": { - "info": "In the first half phase the output is 0, \nin the second half phase the output is the amplitude ", - "readonly": true - }, - "position": { - "x": 296, - "y": 248 - }, - "size": { - "width": 440, - "height": 80 - } - }, - { - "id": "64b452b9-f831-4681-8b41-1bf40f50a7f6", - "type": "basic.info", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", "data": { - "info": "The sample is 12 bits \nOnly the 8 MSB is used for the \namplitude. The 4 lsb are 0", - "readonly": true + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[11:0]", + "size": 12 + }, + { + "name": "b", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "s", + "range": "[12:0]", + "size": 13 + } + ] + } }, "position": { - "x": 416, - "y": -200 + "x": 384, + "y": 192 }, "size": { - "width": 288, - "height": 72 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", - "port": "53acced8-0736-40f7-8db1-7103a21f31c2" - }, - "target": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" - }, - "vertices": [], - "size": 4 - }, - { - "source": { - "block": "42537563-f4f5-4a36-abbb-3a8111a7cd98", + "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", "port": "out" }, "target": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "906137ba-f840-4759-9556-b6a0ec101654" - }, - "size": 8 - }, - { - "source": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" - }, - "target": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "29bbd7ca-c0f4-493d-b010-d91490b2ba36" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, "size": 12 }, { "source": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3" + "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "port": "out" }, "target": { - "block": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", - "port": "in" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" }, "size": 12 }, { "source": { - "block": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", - "port": "out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" - }, - "size": 24 - }, - { - "source": { - "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", + "port": "in" }, - "target": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "dc0503e7-6d73-4528-8588-e07859b9246b" - } + "size": 13 } ] } } }, - "704dd5f8afe62655a24799888c6f808656700e78": { + "117c154c9710e7538dc4149a2241691940cc4a09": { "package": { - "name": "Channel-enable", + "name": "split-1-12-bus", "version": "0.1", - "description": "12-bits Channel enable gate", + "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2258.054%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588407195351 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", - "type": "basic.input", + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "type": "basic.output", "data": { - "name": "", - "range": "[11:0]", - "clock": false, - "size": 12 + "name": "o1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false }, "position": { - "x": 16, - "y": 320 + "x": 584, + "y": 144 } }, { - "id": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "type": "basic.output", + "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "name": "i", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, "position": { - "x": 1104, - "y": 336 + "x": 144, + "y": 200 } }, { - "id": "dc0503e7-6d73-4528-8588-e07859b9246b", - "type": "basic.input", + "id": "d3293383-456c-471c-974d-342cc97e652b", + "type": "basic.output", "data": { - "name": "en", - "clock": false + "name": "o0", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false }, "position": { - "x": 48, - "y": 784 + "x": 576, + "y": 240 } }, { - "id": "be3e513d-01cc-4283-b6fd-08a819830611", - "type": "8d2bd952c205c3c773c9ac9da1c6bba1f3166165", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[12];\nassign o0 = i[11:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[12:0]", + "size": 13 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 + } + ] + } + }, "position": { - "x": 896, + "x": 296, "y": 176 }, "size": { - "width": 96, - "height": 384 + "width": 208, + "height": 112 } - }, + } + ], + "wires": [ { - "id": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "type": "3b52d5a4df7042b0cd9f5945ae31497b4741aa22", - "position": { - "x": 256, - "y": 160 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "size": { - "width": 96, - "height": 384 + "target": { + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" } }, { - "id": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": -72 + "source": { + "block": "ecf75200-3425-42bf-b093-a4b731cadab6", + "port": "out" }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a7438cb7-d571-484e-852d-5a1af26158f7", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 0 + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": { - "width": 96, - "height": 64 - } + "size": 13 }, { - "id": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 72 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "size": { - "width": 96, - "height": 64 - } - }, + "target": { + "block": "d3293383-456c-471c-974d-342cc97e652b", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "4a3e5082790168ab13226b6a032ed6e45e656420": { + "package": { + "name": "Registro-12bits-system", + "version": "0.2", + "description": "Registro de 12 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 144 + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 120, + "y": 192 } }, { - "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 216 + "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[11:0]", + "size": 12 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 632, + "y": 248 } }, { - "id": "2f5c5027-6968-425d-848f-44e20329a15c", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 320 + "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 120, + "y": 296 } }, { - "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 480 + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 376, + "y": 56 } }, { - "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 552 - }, - "size": { - "width": 96, - "height": 64 + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 12;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "q", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" } }, { - "id": "756c0631-762c-418b-879d-eb4bb02aa428", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 12 + } + ] + } + } + }, + "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223": { + "package": { + "name": "tone_generator_pulse", + "version": "0.1", + "description": "Generate a squeare signal (pulse) from the timebase", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20id=%22Ebene_1%22%20width=%22202.334%22%20height=%22161.714%22%20viewBox=%220%200%20202.33365%20161.71387%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path998%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-62%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-99%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321-3%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339-0%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1228%22/%3E%3Ccircle%20id=%22gpDot%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt4%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5%22%20id=%22gpPt6%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt8%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt9%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9%22%20id=%22gpPt10%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt11%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11%22%20id=%22gpPt12%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpDot-3%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0-6%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1-7%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2-5%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt4-5%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5-6%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5-6%22%20id=%22gpPt6-2%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7-9%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt8-1%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt9-2%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9-2%22%20id=%22gpPt10-7%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt11-0%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11-0%22%20id=%22gpPt12-9%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20r=%2236.696%22%20cy=%22123.902%22%20cx=%2237.811%22%20id=%22path1606%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22144.44%22%20y=%22144.225%22%20id=%22text849%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20id=%22tspan847%22%20x=%22144.44%22%20y=%22144.225%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3EPulse%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-163.768%22%20y=%22378.437%22%20id=%22text1419%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20d=%22M844.847%2091.713%22%20id=%22path2375%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M454.235-55.93699999999998%22%20id=%22path2399%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M519.337-55.93699999999998%22%20id=%22path2411%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M844.847-55.93699999999998%22%20id=%22path2471%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20id=%22path2487%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22graph%22%20d=%22M22.832%2066.689V1.413h42.953v65.276h38.981V1.413h42.952v65.276h42.387%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", + "otid": 1588447340837 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "42537563-f4f5-4a36-abbb-3a8111a7cd98", + "type": "basic.input", + "data": { + "name": "amp", + "range": "[7:0]", + "clock": false, + "size": 8 + }, "position": { - "x": 664, - "y": 624 + "x": 136, + "y": -128 + } + }, + { + "id": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 944, + "y": -96 + } + }, + { + "id": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 144, + "y": 168 + } + }, + { + "id": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "type": "704dd5f8afe62655a24799888c6f808656700e78", + "position": { + "x": 752, + "y": -96 }, "size": { "width": 96, @@ -5930,11 +5735,11 @@ } }, { - "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", "position": { - "x": 664, - "y": 696 + "x": 536, + "y": -112 }, "size": { "width": 96, @@ -5942,11 +5747,11 @@ } }, { - "id": "a970977d-5030-4145-ae62-6ef064aee10e", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", + "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", "position": { - "x": 664, - "y": 768 + "x": 384, + "y": -48 }, "size": { "width": 96, @@ -5954,530 +5759,10296 @@ } }, { - "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "id": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "type": "770c28026476212f3ed069d6ca576d596bfda158", "position": { - "x": 664, - "y": 408 + "x": 304, + "y": 168 }, "size": { "width": 96, "height": 64 } + }, + { + "id": "a015b861-c345-41dd-972a-125df93d8320", + "type": "basic.info", + "data": { + "info": "In the first half phase the output is 0, \nin the second half phase the output is the amplitude ", + "readonly": true + }, + "position": { + "x": 296, + "y": 248 + }, + "size": { + "width": 440, + "height": 80 + } + }, + { + "id": "64b452b9-f831-4681-8b41-1bf40f50a7f6", + "type": "basic.info", + "data": { + "info": "The sample is 12 bits \nOnly the 8 MSB is used for the \namplitude. The 4 lsb are 0", + "readonly": true + }, + "position": { + "x": 416, + "y": -200 + }, + "size": { + "width": 288, + "height": 72 + } } ], "wires": [ { "source": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "ee811595-5804-4013-af30-15cd89b5bed2" + "block": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" }, "target": { - "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "port": "in" + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" }, - "size": 12 + "vertices": [], + "size": 4 }, { "source": { - "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "block": "42537563-f4f5-4a36-abbb-3a8111a7cd98", "port": "out" }, "target": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "906137ba-f840-4759-9556-b6a0ec101654" }, - "size": 12 + "size": 8 }, { "source": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" - } + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "29bbd7ca-c0f4-493d-b010-d91490b2ba36" + }, + "size": 12 }, { "source": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" + "block": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", + "port": "in" }, - "vertices": [ - { - "x": 848, - "y": 120 - } - ] + "size": 12 }, { "source": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", + "port": "out" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" + "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" }, - "vertices": [ - { - "x": 832, - "y": 192 - } - ] + "size": 24 }, { "source": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" }, "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "dc0503e7-6d73-4528-8588-e07859b9246b" + } + } + ] + } + } + }, + "704dd5f8afe62655a24799888c6f808656700e78": { + "package": { + "name": "Channel-enable", + "version": "0.1", + "description": "12-bits Channel enable gate", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2258.054%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588407195351 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 }, - "vertices": [ - { - "x": 816, - "y": 256 - } - ] + "position": { + "x": 16, + "y": 320 + } }, { - "source": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + "id": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "type": "basic.output", + "data": { + "name": "", + "range": "[11:0]", + "size": 12 }, - "vertices": [ - { - "x": 800, - "y": 280 - } - ] + "position": { + "x": 1104, + "y": 336 + } }, { - "source": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "dc0503e7-6d73-4528-8588-e07859b9246b", + "type": "basic.input", + "data": { + "name": "en", + "clock": false }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + "position": { + "x": 48, + "y": 784 } }, { - "source": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "be3e513d-01cc-4283-b6fd-08a819830611", + "type": "8d2bd952c205c3c773c9ac9da1c6bba1f3166165", + "position": { + "x": 896, + "y": 176 }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + "size": { + "width": 96, + "height": 384 } }, { - "source": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "type": "3b52d5a4df7042b0cd9f5945ae31497b4741aa22", + "position": { + "x": 256, + "y": 160 }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + "size": { + "width": 96, + "height": 384 + } + }, + { + "id": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": -72 }, - "vertices": [ - { - "x": 864, - "y": 712 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "a7438cb7-d571-484e-852d-5a1af26158f7", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 0 }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "42733799-2dd4-429b-bd43-a478067a10a6" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 72 }, - "vertices": [ - { - "x": 848, - "y": 640 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 144 }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 216 }, - "vertices": [ - { - "x": 832, - "y": 552 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "2f5c5027-6968-425d-848f-44e20329a15c", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 320 }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 480 }, - "vertices": [ - { - "x": 824, - "y": 480 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 552 }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "756c0631-762c-418b-879d-eb4bb02aa428", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 624 }, - "vertices": [ - { - "x": 808, - "y": 400 - } - ] + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 696 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a970977d-5030-4145-ae62-6ef064aee10e", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 768 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 408 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "ee811595-5804-4013-af30-15cd89b5bed2" + }, + "target": { + "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "port": "out" + }, + "target": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" + }, + "size": 12 + }, + { + "source": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" + } + }, + { + "source": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" + }, + "vertices": [ + { + "x": 848, + "y": 120 + } + ] + }, + { + "source": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" + }, + "vertices": [ + { + "x": 832, + "y": 192 + } + ] + }, + { + "source": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" + }, + "vertices": [ + { + "x": 816, + "y": 256 + } + ] + }, + { + "source": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + }, + "vertices": [ + { + "x": 800, + "y": 280 + } + ] + }, + { + "source": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + } + }, + { + "source": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + } + }, + { + "source": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + }, + "vertices": [ + { + "x": 864, + "y": 712 + } + ] + }, + { + "source": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "42733799-2dd4-429b-bd43-a478067a10a6" + }, + "vertices": [ + { + "x": 848, + "y": 640 + } + ] + }, + { + "source": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + }, + "vertices": [ + { + "x": 832, + "y": 552 + } + ] + }, + { + "source": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + }, + "vertices": [ + { + "x": 824, + "y": 480 + } + ] + }, + { + "source": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + }, + "vertices": [ + { + "x": 808, + "y": 400 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" + }, + "target": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 392, + "y": -32 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" + }, + "target": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 88 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" + }, + "target": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 152 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" + }, + "target": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 216 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" + }, + "target": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 280 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + }, + "target": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + }, + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 400 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + }, + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 464 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + }, + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 496 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + }, + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 576 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" + }, + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 392, + "y": 640 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" + }, + "target": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 368, + "y": 720 + } + ] + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { + "package": { + "name": "join-bus-11-bits", + "version": "0.1", + "description": "Join 11 wires into a 11-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "type": "basic.input", + "data": { + "name": "i11", + "clock": false + }, + "position": { + "x": 80, + "y": 160 + } + }, + { + "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "type": "basic.input", + "data": { + "name": "i10", + "clock": false + }, + "position": { + "x": -40, + "y": 200 + } + }, + { + "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "type": "basic.input", + "data": { + "name": "i9", + "clock": false + }, + "position": { + "x": 80, + "y": 240 + } + }, + { + "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "type": "basic.input", + "data": { + "name": "i8", + "clock": false + }, + "position": { + "x": -40, + "y": 280 + } + }, + { + "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "type": "basic.input", + "data": { + "name": "i7", + "clock": false + }, + "position": { + "x": 80, + "y": 320 + } + }, + { + "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "type": "basic.input", + "data": { + "name": "i6", + "clock": false + }, + "position": { + "x": -40, + "y": 360 + } + }, + { + "id": "ee811595-5804-4013-af30-15cd89b5bed2", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 1032, + "y": 384 + } + }, + { + "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "type": "basic.input", + "data": { + "name": "i5", + "clock": false + }, + "position": { + "x": 80, + "y": 400 + } + }, + { + "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "type": "basic.input", + "data": { + "name": "i4", + "clock": false + }, + "position": { + "x": -32, + "y": 440 + } + }, + { + "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "type": "basic.input", + "data": { + "name": "i3", + "clock": false + }, + "position": { + "x": 88, + "y": 480 + } + }, + { + "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "type": "basic.input", + "data": { + "name": "i2", + "clock": false + }, + "position": { + "x": -40, + "y": 520 + } + }, + { + "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "type": "basic.input", + "data": { + "name": "i1", + "clock": false + }, + "position": { + "x": 80, + "y": 560 + } + }, + { + "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": -24, + "y": 600 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i11" + }, + { + "name": "i10" + }, + { + "name": "i9" + }, + { + "name": "i8" + }, + { + "name": "i7" + }, + { + "name": "i6" + }, + { + "name": "i5" + }, + { + "name": "i4" + }, + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 656, + "height": 472 + } + } + ], + "wires": [ + { + "source": { + "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i7" + } + }, + { + "source": { + "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i6" + } + }, + { + "source": { + "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i5" + } + }, + { + "source": { + "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" + } + }, + { + "source": { + "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + } + }, + { + "source": { + "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + } + }, + { + "source": { + "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "ee811595-5804-4013-af30-15cd89b5bed2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i8" + } + }, + { + "source": { + "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i9" + } + }, + { + "source": { + "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i10" + } + }, + { + "source": { + "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i11" + } + } + ] + } + } + }, + "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { + "package": { + "name": "Separador-bus", + "version": "0.1", + "description": "Separador de bus de 12-bits en 12 cables", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "type": "basic.output", + "data": { + "name": "o11" + }, + "position": { + "x": 552, + "y": -176 + } + }, + { + "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "type": "basic.output", + "data": { + "name": "o10" + }, + "position": { + "x": 584, + "y": -120 + } + }, + { + "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "type": "basic.output", + "data": { + "name": "o9" + }, + "position": { + "x": 616, + "y": -64 + } + }, + { + "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "type": "basic.output", + "data": { + "name": "o8" + }, + "position": { + "x": 656, + "y": -8 + } + }, + { + "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "type": "basic.output", + "data": { + "name": "o7" + }, + "position": { + "x": 712, + "y": 48 + } + }, + { + "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "type": "basic.output", + "data": { + "name": "o6" + }, + "position": { + "x": 728, + "y": 104 + } + }, + { + "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "type": "basic.output", + "data": { + "name": "o5" + }, + "position": { + "x": 752, + "y": 160 + } + }, + { + "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "type": "basic.input", + "data": { + "name": "i", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 16, + "y": 192 + } + }, + { + "id": "f4978486-1baf-45b6-962d-f7c4f5021560", + "type": "basic.output", + "data": { + "name": "o4" + }, + "position": { + "x": 784, + "y": 216 + } + }, + { + "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "type": "basic.output", + "data": { + "name": "o3" + }, + "position": { + "x": 768, + "y": 272 + } + }, + { + "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "type": "basic.output", + "data": { + "name": "o2" + }, + "position": { + "x": 728, + "y": 328 + } + }, + { + "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 600, + "y": 352 + } + }, + { + "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "type": "basic.output", + "data": { + "name": "o0" + }, + "position": { + "x": 536, + "y": 416 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "o11" + }, + { + "name": "o10" + }, + { + "name": "o9" + }, + { + "name": "o8" + }, + { + "name": "o7" + }, + { + "name": "o6" + }, + { + "name": "o5" + }, + { + "name": "o4" + }, + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 192, + "y": 80 + }, + "size": { + "width": 256, + "height": 280 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o7" + }, + "target": { + "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o6" + }, + "target": { + "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o5" + }, + "target": { + "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o4" + }, + "target": { + "block": "f4978486-1baf-45b6-962d-f7c4f5021560", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o8" + }, + "target": { + "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o9" + }, + "target": { + "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o10" + }, + "target": { + "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o11" + }, + "target": { + "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "port": "in" + } + }, + { + "source": { + "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 + } + ] + } + } + }, + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "package": { + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 88 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 784, + "y": 152 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 224 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { + "package": { + "name": "Join-8-4", + "version": "0.0.1", + "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "906137ba-f840-4759-9556-b6a0ec101654", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 136, + "y": 240 + } + }, + { + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 704, + "y": 288 + } + }, + { + "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 136, + "y": 336 + } + }, + { + "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "type": "basic.code", + "data": { + "code": "assign o = {i1,i0};\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 328, + "y": 224 + }, + "size": { + "width": 288, + "height": 192 + } + } + ], + "wires": [ + { + "source": { + "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + }, + "size": 4 + }, + { + "source": { + "block": "906137ba-f840-4759-9556-b6a0ec101654", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 8 + }, + { + "source": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" + }, + "target": { + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "package": { + "name": "Valor_0_4bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 4 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 928, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "type": "9274d3154d579c5922da669b25ca14097a46a22f", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" + }, + "target": { + "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "9274d3154d579c5922da669b25ca14097a46a22f": { + "package": { + "name": "Constante-4bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + } + ] + } + } + }, + "770c28026476212f3ed069d6ca576d596bfda158": { + "package": { + "name": "split-1-23", + "version": "0.1-c1588489478854", + "description": "split a 24-bit bus into 1 wire and a 23-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 584, + "y": 128 + } + }, + { + "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "type": "basic.input", + "data": { + "name": "i", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 64, + "y": 200 + } + }, + { + "id": "b64bd4de-2f3d-447f-b060-266885b19807", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[22:0]", + "size": 23 + }, + "position": { + "x": 584, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23];\nassign o0 = i[22:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[22:0]", + "size": 23 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 + }, + "size": { + "width": 256, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "port": "in" + }, + "size": 23 + } + ] + } + } + }, + "4aa649ed9a98770b6b495b76e13c8991f2ada2f8": { + "package": { + "name": "Simplified-Heart-ms-32bits", + "version": "0.3", + "description": "Simplified-Heart-ms-sys-32bits: Nominal periodic signal in mili seconds", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22244.983%22%20height=%22223.683%22%20viewBox=%220%200%2064.818328%2059.182739%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M31.726%2058.436c-1.06-1.822-2.702-3.607-5.814-6.317-1.686-1.467-2.711-2.282-8.55-6.793-4.577-3.536-6.86-5.498-9.506-8.168-2.644-2.67-4.199-4.797-5.532-7.57-.852-1.77-1.437-3.476-1.801-5.249C.06%2022.087-.002%2021.325%200%2018.01c.003-4.352.147-5.076%201.575-7.979%201.062-2.155%201.869-3.29%203.548-4.996%201.631-1.655%202.69-2.407%204.98-3.54C12.645.237%2014.485-.093%2018.275.03c2.945.095%204.023.388%206.358%201.732%203.675%202.114%206.527%205.509%207.316%208.709.129.523.262.951.296.951.034%200%20.331-.612.66-1.36%201.123-2.543%202.166-4.095%203.822-5.69%205.07-4.89%2013.064-5.774%2019.528-2.162%202.64%201.475%204.787%203.623%206.451%206.452%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.307-1.136%201.725-1.977%202.77-3.554%204.416-2.545%202.658-4.84%204.612-10.257%208.732-3.418%202.6-5.444%204.271-8.377%206.914-2.35%202.117-5.99%205.802-6.341%206.419-.154.269-.292.489-.308.489-.017%200-.225-.336-.463-.747z%22%20fill=%22red%22/%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -72, + "y": -320 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 56, + "y": -320 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 992, + "y": -320 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": -320 + } + }, + { + "id": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 424, + "y": -176 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 728, + "y": -152 + } + }, + { + "id": "f8df038b-f5e0-46f5-9450-173b01f03457", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1008, + "y": -96 + } + }, + { + "id": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12_000_000", + "local": false + }, + "position": { + "x": -32, + "y": -160 + } + }, + { + "id": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 80, + "y": -160 + } + }, + { + "id": "1f13e77c-a957-4465-930f-e69ef9749b35", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 208, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "type": "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99", + "position": { + "x": 352, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "15a62858-6404-4eee-b2b1-063c51984061", + "type": "basic.info", + "data": { + "info": "Dividir entre dos el periodo \n(Multiplicar por 2 la frecuencia) ", + "readonly": true + }, + "position": { + "x": 264, + "y": 8 + }, + "size": { + "width": 368, + "height": 56 + } + }, + { + "id": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 56, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "type": "9cd840b50a80f25715b49324ba45c2e978fbc412", + "position": { + "x": 568, + "y": -128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "type": "b7f7136a87c607769a64233fd99bc12818a05746", + "position": { + "x": 864, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "port": "outlabel" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f8df038b-f5e0-46f5-9450-173b01f03457", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" + }, + "vertices": [] + }, + { + "source": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "02680a82-d9bd-41b7-8c08-990091e7d2f2" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "a95e724c-148d-4ea1-b6e5-5186c82520df" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "8b0a8a99-fd6c-41b8-826a-52f35e572df2" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "1f13e77c-a957-4465-930f-e69ef9749b35", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "79b18de5-9152-4bdb-8059-3dcf8efa92dc" + }, + "vertices": [] + }, + { + "source": { + "block": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "port": "constant-out" + }, + "target": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + }, + { + "source": { + "block": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "port": "constant-out" + }, + "target": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99": { + "package": { + "name": "SR1-32bits", + "version": "0.1", + "description": "SR1-32bits: Shift a 32-bit value one bit right. MSB is filled with the input in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22132.487%22%20viewBox=%220%200%20280.28048%20124.20677%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-228.395)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22none%22%20stroke-width=%221.65%22%20stroke=%22green%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M4.534%201.47l40.643-.064v50.43M274.981%20122.738l-63.82.063V72.37%22%20stroke-width=%222.813217%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "type": "basic.input", + "data": { + "name": "in", + "clock": false + }, + "position": { + "x": 216, + "y": 280 + } + }, + { + "id": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 808, + "y": 296 + } + }, + { + "id": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 224, + "y": 392 + } + }, + { + "id": "390a8028-5755-42e1-8173-5d973fb17d9b", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 808, + "y": 408 + } + }, + { + "id": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "type": "ecd30a800a660ad1e59f783099570f517b0d6cfe", + "position": { + "x": 416, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "type": "51b3c0c2868d4b8251891192d15f65348a99fe97", + "position": { + "x": 616, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "port": "out" + }, + "target": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "893af5e6-8636-4eb0-9db0-2b2cc10feffe" + }, + "target": { + "block": "390a8028-5755-42e1-8173-5d973fb17d9b", + "port": "in" + } + }, + { + "source": { + "block": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "port": "out" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae" + } + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "fcdf42fb-4c60-4831-a889-ae811cdabcbe" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "bc768a7d-c014-42a7-a27a-f607b633630e" + }, + "size": 31 + }, + { + "source": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "ecd30a800a660ad1e59f783099570f517b0d6cfe": { + "package": { + "name": "Bus32-Split-31-1", + "version": "0.1", + "description": "Bus32-Split-31-1: Split the 32-bits bus into two buses of 31 and 1 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "type": "basic.output", + "data": { + "name": "1", + "range": "[30:0]", + "size": 31 + }, + "position": { + "x": 592, + "y": 176 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[30:0]", + "size": 31 + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "port": "in" + }, + "size": 31 + } + ] + } + } + }, + "51b3c0c2868d4b8251891192d15f65348a99fe97": { + "package": { + "name": "Bus32-Join-1-31", + "version": "0.1", + "description": "Bus32-Join-1-31: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 112, + "y": 112 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "bc768a7d-c014-42a7-a27a-f607b633630e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[30:0]", + "clock": false, + "size": 31 + }, + "position": { + "x": 112, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1" + }, + { + "name": "i0", + "range": "[30:0]", + "size": 31 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 304, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "bc768a7d-c014-42a7-a27a-f607b633630e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 31 + }, + { + "source": { + "block": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + } + ] + } + } + }, + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { + "package": { + "name": "Unit-ms-32bits", + "version": "0.1", + "description": "Unit-ms-32bits: ms to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 952, + "y": 496 + } + }, + { + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12000000", + "local": false + }, + "position": { + "x": 520, + "y": 344 + } + }, + { + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 720, + "y": 344 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Input parameter: Milieconds ", + "readonly": true + }, + "position": { + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 + } + }, + { + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", + "data": { + "info": "Input parameter: \nSystem Frequency", + "readonly": true + }, + "position": { + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 + } + }, + { + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "MS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" + }, + "position": { + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] + }, + { + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" + }, + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" + } + } + ] + } + } + }, + "9cd840b50a80f25715b49324ba45c2e978fbc412": { + "package": { + "name": "Heart-sys-zero-32bits", + "version": "0.2", + "description": "Heart-sys-zero-32bits: Generate a periodic signal of period T cycles. It starts at cycle 0. It never stops", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22267.83%22%20height=%22235.568%22%20viewBox=%220%200%2070.863319%2062.327419%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-49.44%20-45.386)%22%3E%3Cpath%20d=%22M82.767%20103.823c-1.059-1.823-2.701-3.608-5.813-6.317-1.686-1.468-2.712-2.283-8.55-6.794-4.577-3.536-6.86-5.497-9.506-8.167-2.645-2.67-4.2-4.797-5.533-7.57-.851-1.77-1.436-3.477-1.8-5.25-.463-2.252-.526-3.014-.523-6.33.003-4.352.146-5.075%201.575-7.978%201.062-2.156%201.868-3.291%203.548-4.997%201.63-1.655%202.69-2.407%204.979-3.539%202.544-1.258%204.384-1.588%208.174-1.466%202.945.095%204.023.388%206.358%201.732%203.675%202.115%206.526%205.51%207.316%208.709.129.523.262.952.295.952.034%200%20.332-.612.662-1.36%201.122-2.544%202.164-4.095%203.82-5.692%205.07-4.889%2013.065-5.772%2019.528-2.16%202.64%201.475%204.788%203.622%206.452%206.451%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.308-1.137%201.725-1.978%202.77-3.555%204.416-2.544%202.658-4.839%204.611-10.256%208.731-3.418%202.6-5.445%204.271-8.377%206.914-2.35%202.117-5.991%205.803-6.342%206.419-.153.27-.292.49-.308.49-.016%200-.224-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%2028.715%2037.275)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.89397%200%200%20.89397%20106.937%20-105.28)%22%20stroke-width=%221.119%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.59%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.732%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20width=%2235.828%22%20height=%2219.351%22%20x=%2264.354%22%20y=%2261.538%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 96, + "y": -168 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 224, + "y": -168 + } + }, + { + "id": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1216, + "y": -168 + } + }, + { + "id": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 1074, + "y": -168 + } + }, + { + "id": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1216, + "y": -40 + } + }, + { + "id": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1072, + "y": -40 + } + }, + { + "id": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 832, + "y": -40 + } + }, + { + "id": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "type": "basic.input", + "data": { + "name": "T", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 96, + "y": -8 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 224, + "y": -8 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 0 + } + }, + { + "id": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 872, + "y": 24 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1216, + "y": 72 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1072, + "y": 72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": 80 + } + }, + { + "id": "89e11527-8c3d-4bbf-9694-1159de958b40", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 864, + "y": 112 + } + }, + { + "id": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": 224 + } + }, + { + "id": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "type": "basic.inputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 816, + "y": 288 + } + }, + { + "id": "815134a8-2814-476d-88c0-0d74da86efc4", + "type": "basic.outputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 272, + "y": 304 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Delay", + "readonly": true + }, + "position": { + "x": 712, + "y": 168 + }, + "size": { + "width": 72, + "height": 32 + } + }, + { + "id": "01772f99-50e3-45ae-95b0-8bca41f89605", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 408, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6455dc0-2aad-4d56-8a09-4ebcaf8db425", + "type": "basic.info", + "data": { + "info": "Start a new period", + "readonly": true + }, + "position": { + "x": 528, + "y": 232 + }, + "size": { + "width": 160, + "height": 32 + } + }, + { + "id": "8d4fd5c0-10c3-4dc8-b87e-e78b842e9ce0", + "type": "basic.info", + "data": { + "info": "Start at cycle 0!", + "readonly": true + }, + "position": { + "x": 272, + "y": 184 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38f7b59d-a55f-446c-bbf2-60dfc32db5b5", + "type": "basic.info", + "data": { + "info": "Repeat!", + "readonly": true + }, + "position": { + "x": 288, + "y": 368 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "f401416a-89c7-48c4-a759-3a2780237e11", + "type": "basic.info", + "data": { + "info": "Current period ended \nStart a new one", + "readonly": true + }, + "position": { + "x": 816, + "y": 240 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "88d96c30-493c-4914-b0a4-19ffd91abacd", + "type": "basic.info", + "data": { + "info": "Periodo (in system clock cycles)", + "readonly": true + }, + "position": { + "x": 240, + "y": -48 + }, + "size": { + "width": 264, + "height": 40 + } + }, + { + "id": "b628b46a-1f69-485c-82cf-e48cdffb2fbe", + "type": "basic.info", + "data": { + "info": "Current cycle in the period \n(0-T-1)", + "readonly": true + }, + "position": { + "x": 1120, + "y": -80 + }, + "size": { + "width": 232, + "height": 48 + } + }, + { + "id": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 680, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 272, + "y": 224 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "port": "inlabel" + }, + "vertices": [ + { + "x": 800, + "y": 152 + } + ] + }, + { + "source": { + "block": "815134a8-2814-476d-88c0-0d74da86efc4", + "port": "outlabel" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" + } + }, + { + "source": { + "block": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "port": "out", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "89e11527-8c3d-4bbf-9694-1159de958b40", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "port": "outlabel" + }, + "target": { + "block": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "size": 32 + }, + "target": { + "block": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "port": "outlabel" + }, + "target": { + "block": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "port": "in" + } + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "port": "inlabel" + } + }, + { + "source": { + "block": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "port": "outlabel" + }, + "target": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + } + }, + { + "source": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [] + }, + { + "source": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "e1fb385d657acff350e273a34472cf836d8701f2": { + "package": { + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 1104, + "y": 40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1264, + "y": 40 + } + }, + { + "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 112, + "y": 64 + } + }, + { + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 248, + "y": 64 + } + }, + { + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 80 + } + }, + { + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 144 + } + }, + { + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", + "data": { + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 152 + } + }, + { + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 240, + "y": 152 + } + }, + { + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 400, + "y": 208 + } + }, + { + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1264, + "y": 240 + } + }, + { + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 1112, + "y": 240 + } + }, + { + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": 248 + } + }, + { + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 288 + } + }, + { + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 568, + "y": 360 + } + }, + { + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1272, + "y": 376 + } + }, + { + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 1104, + "y": 376 + } + }, + { + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 912, + "y": 376 + } + }, + { + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 568, + "y": 424 + } + }, + { + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 912, + "y": 440 + } + }, + { + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 568, + "y": 496 + } + }, + { + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 112, + "y": 224 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true + }, + "position": { + "x": 112, + "y": 136 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true + }, + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" + }, + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" + } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 + } + ] + } + } + }, + "ee22aefdb40369d328e34626d38b009de330f96b": { + "package": { + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -24, + "y": -296 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 104, + "y": -296 + } + }, + { + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": -280 + } + }, + { + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1016, + "y": -280 + } + }, + { + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -24, + "y": -232 + } + }, + { + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -232 + } + }, + { + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1176, + "y": -208 + } + }, + { + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 1016, + "y": -208 + } + }, + { + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": -160 + } + }, + { + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", + "data": { + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -24, + "y": -160 + } + }, + { + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": -24, + "y": -96 + } + }, + { + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -96 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 16 + } + }, + { + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 304, + "y": 40 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 32, + "y": 136 + } + }, + { + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 32, + "y": 192 + } + }, + { + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": -32, + "y": 304 + } + }, + { + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 488 + } + }, + { + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 632, + "y": 8 + } + }, + { + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 424, + "y": 368 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "32-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 256 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, + "position": { + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, + "position": { + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", + "position": { + "x": 120, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", + "position": { + "x": 296, + "y": 424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" + }, + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } + }, + { + "source": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" + } + }, + { + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" + }, + "target": { + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 + }, + "target": { + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "port": "outlabel" + }, + "target": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 + }, + "target": { + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + } + }, + { + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 592, + "y": 288 + } + ], + "size": 32 + }, + { + "source": { + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 + } + ] + } + } + }, + "0906c20b5d8675f4f9b5706640957da9573692b5": { + "package": { + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 616, + "y": -88 + } + }, + { + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 232, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 144, + "y": -472 + } + }, + { + "id": "64015db2-163d-4858-966f-2f7282b187c9", + "type": "basic.outputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 784, + "y": -440 + } + }, + { + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" + }, + "target": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" + }, + "target": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { + "package": { + "name": "AdderC-4bits", + "version": "0.1", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -296, + "y": -168 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -648 + } + }, + { + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -408, + "y": -584 + } + }, + { + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 832, + "y": -480 + } + }, + { + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 248, + "y": -456 + } + }, + { + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -448 + } + }, + { + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -400, + "y": -392 + } + }, + { + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, + { + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 248, + "y": -376 + } + }, + { + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 840, + "y": -336 + } + }, + { + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 120, + "y": -304 + } + }, + { + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 120, + "y": -232 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" - }, - "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false }, - "vertices": [ - { - "x": 392, - "y": -32 - } - ] + "position": { + "x": -392, + "y": -168 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" - }, - "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 }, - "vertices": [ - { - "x": 408, - "y": 88 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" - }, - "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -240, + "y": -584 }, - "vertices": [ - { - "x": 424, - "y": 152 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" - }, - "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 }, - "vertices": [ - { - "x": 440, - "y": 216 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" - }, - "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 }, - "vertices": [ - { - "x": 456, - "y": 280 - } - ] + "size": { + "width": 96, + "height": 96 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 }, - "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 96 } - }, + } + ], + "wires": [ { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" }, - "vertices": [ - { - "x": 456, - "y": 400 - } - ] + "size": 4 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" }, - "vertices": [ - { - "x": 440, - "y": 464 - } - ] + "size": 4 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" }, - "vertices": [ - { - "x": 424, - "y": 496 - } - ] + "size": 4 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" }, - "vertices": [ - { - "x": 408, - "y": 576 - } - ] + "size": 4 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "vertices": [ - { - "x": 392, - "y": 640 - } - ] + "size": 4 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, "vertices": [ { - "x": 368, - "y": 720 + "x": 272, + "y": -256 } - ] + ], + "size": 4 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "size": 4 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", "port": "out" }, "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", "port": "out" }, "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", "port": "out" }, "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, - "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] } ] } } }, - "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { "package": { - "name": "join-bus-11-bits", + "name": "Bus16-Join-half", "version": "0.1", - "description": "Join 11 wires into a 11-bits bus", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, @@ -6485,212 +16056,198 @@ "graph": { "blocks": [ { - "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", "type": "basic.input", "data": { - "name": "i11", - "clock": false + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 80, - "y": 160 + "x": 120, + "y": 168 } }, { - "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", - "type": "basic.input", + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", "data": { - "name": "i10", - "clock": false + "name": "", + "range": "[15:0]", + "size": 16 }, "position": { - "x": -40, + "x": 632, "y": 200 } }, { - "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "type": "basic.input", - "data": { - "name": "i9", - "clock": false - }, - "position": { - "x": 80, - "y": 240 - } - }, - { - "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", "type": "basic.input", "data": { - "name": "i8", - "clock": false + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": -40, - "y": 280 + "x": 120, + "y": 264 } }, { - "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "type": "basic.input", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "i7", - "clock": false + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } }, "position": { - "x": 80, - "y": 320 - } - }, - { - "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "type": "basic.input", - "data": { - "name": "i6", - "clock": false + "x": 296, + "y": 176 }, - "position": { - "x": -40, - "y": 360 + "size": { + "width": 272, + "height": 104 } - }, + } + ], + "wires": [ { - "id": "ee811595-5804-4013-af30-15cd89b5bed2", - "type": "basic.output", - "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, - "position": { - "x": 1032, - "y": 384 - } - }, - { - "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "type": "basic.input", - "data": { - "name": "i5", - "clock": false + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" }, - "position": { - "x": 80, - "y": 400 - } + "size": 16 }, { - "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "type": "basic.input", - "data": { - "name": "i4", - "clock": false + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" }, - "position": { - "x": -32, - "y": 440 - } - }, - { - "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "type": "basic.input", - "data": { - "name": "i3", - "clock": false + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "position": { - "x": 88, - "y": 480 - } + "size": 8 }, { - "id": "42733799-2dd4-429b-bd43-a478067a10a6", - "type": "basic.input", - "data": { - "name": "i2", - "clock": false + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" }, - "position": { - "x": -40, - "y": 520 - } - }, - { - "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "type": "basic.input", - "data": { - "name": "i1", - "clock": false + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "position": { - "x": 80, - "y": 560 - } - }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", "type": "basic.input", "data": { - "name": "i0", - "clock": false + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": -24, - "y": 600 + "x": 120, + "y": 168 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", "data": { - "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i11" - }, - { - "name": "i10" - }, - { - "name": "i9" - }, - { - "name": "i8" - }, - { - "name": "i7" - }, - { - "name": "i6" - }, - { - "name": "i5" - }, - { - "name": "i4" - }, - { - "name": "i3" - }, - { - "name": "i2" - }, + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ { - "name": "i1" + "name": "i1", + "range": "[7:0]", + "size": 8 }, { - "name": "i0" + "name": "i0", + "range": "[15:0]", + "size": 16 } ], "out": [ { "name": "o", - "range": "[11:0]", - "size": 12 + "range": "[23:0]", + "size": 24 } ] } @@ -6700,152 +16257,308 @@ "y": 176 }, "size": { - "width": 656, - "height": 472 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i7" - } + "port": "i0" + }, + "size": 16 }, { "source": { - "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i6" - } + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" + }, + "size": 24 }, { "source": { - "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i5" + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 592, + "y": 152 } }, { - "source": { - "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "port": "out" + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i4" + "position": { + "x": 72, + "y": 208 } }, { - "source": { - "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "port": "out" + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" + "position": { + "x": 592, + "y": 232 } }, { - "source": { - "block": "42733799-2dd4-429b-bd43-a478067a10a6", - "port": "out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 } - }, + } + ], + "wires": [ { "source": { - "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - } + "port": "i" + }, + "size": 32 }, { "source": { - "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "size": 8 }, { "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "port": "o1" }, "target": { - "block": "ee811595-5804-4013-af30-15cd89b5bed2", + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", "port": "in" }, - "size": 12 + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 600, + "y": 128 + } }, { - "source": { - "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", - "port": "out" + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i8" + "position": { + "x": 96, + "y": 200 } }, { - "source": { - "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "port": "out" + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i9" + "position": { + "x": 592, + "y": 224 } }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 168 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ { "source": { - "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i10" - } + "port": "i" + }, + "size": 24 }, { "source": { - "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { + "block": "4ca7b72f-724d-435c-8490-645c338586db", + "port": "in" + }, + "size": 16 + }, + { + "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i11" - } + "port": "o0" + }, + "target": { + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" + }, + "size": 8 } ] } } }, - "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { + "306ca367fbbc5181b3c709d73f447e0710871a1d": { "package": { - "name": "Separador-bus", + "name": "Bus16-Split-half", "version": "0.1", - "description": "Separador de bus de 12-bits en 12 cables", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, @@ -6853,1338 +16566,1301 @@ "graph": { "blocks": [ { - "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "type": "basic.output", "data": { - "name": "o11" + "name": "1", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 552, - "y": -176 + "x": 608, + "y": 176 } }, { - "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "type": "basic.output", + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", "data": { - "name": "o10" + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 }, "position": { - "x": 584, - "y": -120 + "x": 96, + "y": 208 } }, { - "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", "type": "basic.output", "data": { - "name": "o9" + "name": "0", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 616, - "y": -64 + "x": 608, + "y": 272 } }, { - "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "type": "basic.output", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "o8" + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 656, - "y": -8 - } - }, - { - "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "type": "basic.output", - "data": { - "name": "o7" + "x": 272, + "y": 176 }, - "position": { - "x": 712, - "y": 48 + "size": { + "width": 240, + "height": 120 } - }, + } + ], + "wires": [ { - "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "type": "basic.output", - "data": { - "name": "o6" + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" }, - "position": { - "x": 728, - "y": 104 - } - }, - { - "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", - "type": "basic.output", - "data": { - "name": "o5" + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "position": { - "x": 752, - "y": 160 - } + "size": 16 }, { - "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", - "type": "basic.input", - "data": { - "name": "i", - "range": "[11:0]", - "clock": false, - "size": 12 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "position": { - "x": 16, - "y": 192 - } - }, - { - "id": "f4978486-1baf-45b6-962d-f7c4f5021560", - "type": "basic.output", - "data": { - "name": "o4" + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" }, - "position": { - "x": 784, - "y": 216 - } + "size": 8 }, { - "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "type": "basic.output", - "data": { - "name": "o3" + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "position": { - "x": 768, - "y": 272 - } - }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { + "package": { + "name": "Bus32-Join-8-24", + "version": "0.1", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "type": "basic.output", + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", "data": { - "name": "o2" + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 728, - "y": 328 + "x": 120, + "y": 104 } }, { - "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", "type": "basic.output", "data": { - "name": "o1" + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 600, - "y": 352 + "x": 648, + "y": 200 } }, { - "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "type": "basic.output", + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", "data": { - "name": "o0" + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 536, - "y": 416 + "x": 120, + "y": 224 } }, { "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "i", - "range": "[11:0]", - "size": 12 + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 } ], "out": [ { - "name": "o11" - }, - { - "name": "o10" - }, - { - "name": "o9" - }, - { - "name": "o8" - }, - { - "name": "o7" - }, - { - "name": "o6" - }, - { - "name": "o5" - }, - { - "name": "o4" - }, - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" + "name": "o", + "range": "[31:0]", + "size": 32 } ] } }, "position": { - "x": 192, - "y": 80 + "x": 296, + "y": 176 }, "size": { - "width": 256, - "height": 280 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o7" + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" }, "target": { - "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "port": "in" - } - }, - { - "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o6" + "port": "i0" }, - "target": { - "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "port": "in" - } + "size": 24 }, { "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o5" + "port": "o" }, "target": { - "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", "port": "in" - } + }, + "size": 32 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o4" + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" }, "target": { - "block": "f4978486-1baf-45b6-962d-f7c4f5021560", - "port": "in" - } - }, - { - "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" + "port": "i1" }, - "target": { - "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "port": "in" - } - }, + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 + } + ] + } + } + }, + "a63735be57457fe4a3aad098b06ba4a251615267": { + "package": { + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "type": "basic.input", + "data": { + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "target": { - "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "port": "in" + "position": { + "x": -96, + "y": 184 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "port": "in" + "position": { + "x": 392, + "y": 224 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "type": "basic.input", + "data": { + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "target": { - "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "port": "in" + "position": { + "x": -96, + "y": 256 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o8" + "id": "c9b95091-7c99-448b-9934-18e096717eeb", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "a", + "range": "[31:0]", + "size": 32 + }, + { + "name": "b", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "ge" + } + ] + }, + "params": [], + "code": "assign ge = (a >= b);" }, - "target": { - "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "port": "in" + "position": { + "x": 88, + "y": 184 + }, + "size": { + "width": 240, + "height": 136 } - }, + } + ], + "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o9" + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "port": "out" }, "target": { - "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", - "port": "in" - } + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" + }, + "size": 32 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o10" + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" }, "target": { - "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "port": "in" - } + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o11" + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" }, "target": { - "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" } - }, - { - "source": { - "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 12 } ] } } }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { "package": { - "name": "AND", - "version": "1.0.1", - "description": "Puerta AND", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "" + "name": "", + "clock": true }, "position": { - "x": 64, - "y": 88 + "x": 560, + "y": 64 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 784, - "y": 152 + "x": 1184, + "y": 72 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 144 + } + }, + { + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "type": "basic.input", "data": { - "name": "" + "name": "rst", + "clock": false }, "position": { - "x": 64, + "x": 560, + "y": 168 + } + }, + { + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, "y": 224 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 560, + "y": 272 + } + }, + { + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 560, + "y": 376 + } + }, + { + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 376 + } + }, + { + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 864, + "y": -80 + } + }, + { + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "type": "basic.code", "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", - "params": [], "ports": { "in": [ { - "name": "a" + "name": "clk" }, { - "name": "b" + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" } ], "out": [ { - "name": "c" + "name": "q", + "range": "[31:0]", + "size": 32 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 256, - "y": 48 + "x": 720, + "y": 40 }, "size": { - "width": 464, - "height": 272 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" + }, + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" + }, + "size": 32 + }, + { + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } } ] } } }, - "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { "package": { - "name": "Join-8-4", - "version": "0.0.1", - "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 }, "design": { "graph": { "blocks": [ { - "id": "906137ba-f840-4759-9556-b6a0ec101654", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "", + "clock": true }, "position": { - "x": 136, - "y": 240 + "x": 240, + "y": 0 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "" }, "position": { - "x": 704, - "y": 288 + "x": 832, + "y": 120 } }, { - "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "type": "basic.input", "data": { - "name": "i0", - "range": "[3:0]", - "clock": false, - "size": 4 + "name": "set", + "clock": false }, "position": { - "x": 136, - "y": 336 + "x": 232, + "y": 120 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 232, + "y": 240 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 536, + "y": -144 + } + }, + { + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", "type": "basic.code", "data": { - "code": "assign o = {i1,i0};\n\n", - "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[7:0]", - "size": 8 + "name": "clk" }, { - "name": "i0", - "range": "[3:0]", - "size": 4 + "name": "set" + }, + { + "name": "reset" } ], "out": [ { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "q" } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 328, - "y": 224 + "x": 400, + "y": -32 }, "size": { - "width": 288, - "height": 192 + "width": 360, + "height": 360 } } ], "wires": [ { "source": { - "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" }, - "size": 4 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" + } }, { "source": { - "block": "906137ba-f840-4759-9556-b6a0ec101654", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" }, - "size": 8 + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" + } }, { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "o" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" - }, - "size": 12 + } } ] } } }, - "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "12181aafa567423f27b91da184acb1c9a94045c5": { "package": { - "name": "Valor_0_4bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 4 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 }, "design": { "graph": { "blocks": [ { - "id": "53acced8-0736-40f7-8db1-7103a21f31c2", - "type": "basic.output", + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "type": "basic.input", "data": { - "name": "k", - "range": "[3:0]", - "size": 4 + "name": "", + "clock": true }, "position": { - "x": 928, - "y": 256 + "x": 296, + "y": -48 } }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": true + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 728, - "y": 152 + "x": 448, + "y": -48 } }, { - "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "type": "9274d3154d579c5922da669b25ca14097a46a22f", - "position": { - "x": 728, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "port": "constant-out" + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "position": { + "x": 344, + "y": 120 } }, { - "source": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" - }, - "target": { - "block": "53acced8-0736-40f7-8db1-7103a21f31c2", - "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9274d3154d579c5922da669b25ca14097a46a22f": { - "package": { - "name": "Constante-4bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "k", - "range": "[3:0]", - "size": 4 + "name": "" }, "position": { - "x": 960, - "y": 248 + "x": 656, + "y": 216 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", "type": "basic.constant", "data": { "name": "", - "value": "0", - "local": false + "value": "1", + "local": true }, "position": { - "x": 728, - "y": 128 + "x": 488, + "y": 104 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[3:0]", - "size": 4 - } - ] - } + "info": "System clock", + "readonly": true }, "position": { - "x": 672, - "y": 248 + "x": 304, + "y": -80 }, "size": { - "width": 208, - "height": 64 + "width": 120, + "height": 40 } - } - ], - "wires": [ - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", - "port": "in" - }, - "size": 4 }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 216, + "y": 216 }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "size": { + "width": 96, + "height": 64 } - } - ] - } - } - }, - "770c28026476212f3ed069d6ca576d596bfda158": { - "package": { - "name": "split-1-23", - "version": "0.1-c1588489478854", - "description": "split a 24-bit bus into 1 wire and a 23-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "type": "basic.output", + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", + "type": "basic.info", "data": { - "name": "o1" + "info": "Initial value: 1", + "readonly": true }, "position": { - "x": 584, - "y": 128 + "x": 504, + "y": 280 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "type": "basic.input", + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", + "type": "basic.info", "data": { - "name": "i", - "range": "[23:0]", - "clock": false, - "size": 24 + "info": "Initial value: 0", + "readonly": true }, "position": { - "x": 64, - "y": 200 + "x": 216, + "y": 288 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "b64bd4de-2f3d-447f-b060-266885b19807", - "type": "basic.output", + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", + "type": "basic.info", "data": { - "name": "o0", - "range": "[22:0]", - "size": 23 + "info": "Falling edge", + "readonly": true }, "position": { - "x": 584, + "x": 368, "y": 264 + }, + "size": { + "width": 136, + "height": 40 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[23];\nassign o0 = i[22:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[23:0]", - "size": 24 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[22:0]", - "size": 23 - } - ] - } - }, + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 224, - "y": 176 + "x": 488, + "y": 200 }, "size": { - "width": 256, - "height": 112 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" }, "target": { - "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "port": "in" - } + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 456, + "y": 184 + } + ] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "b64bd4de-2f3d-447f-b060-266885b19807", - "port": "in" + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, - "size": 23 - } - ] - } - } - }, - "7e4732d019bee7dcd43cb77e27f36dc2a19ff1ad": { - "package": { - "name": "12-bits-Mux-2-1", - "version": "0.0.1", - "description": "24-bits 2-1 multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "29f01cf3-7e6d-4f95-9e04-2236886e1e84", - "type": "basic.input", - "data": { - "name": "i1", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + }, + { + "source": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "b7f7136a87c607769a64233fd99bc12818a05746": { + "package": { + "name": "TFF-verilog", + "version": "0.4", + "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, "position": { - "x": -704, - "y": -88 + "x": 328, + "y": -80 } }, { - "id": "712723db-f73b-4887-9c99-430b9e3c1e6f", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "o", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "" }, "position": { - "x": -88, - "y": 0 + "x": 904, + "y": -8 } }, { - "id": "ede4baec-cd39-4aa2-a324-7924698c41f1", + "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", "type": "basic.input", "data": { - "name": "i0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "", "clock": false }, "position": { - "x": -704, - "y": 0 + "x": 320, + "y": 64 } }, { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "type": "basic.input", + "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "type": "basic.constant", "data": { - "name": "sel", - "clock": false + "name": "", + "value": "0", + "local": false }, "position": { - "x": -704, - "y": 88 + "x": 624, + "y": -248 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", "type": "basic.code", "data": { - "code": "//-- Multiplexor de 2 a 1, \n//-- de 12 bits\n\nreg [11:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", - "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[11:0]", - "size": 12 - }, - { - "name": "i0", - "range": "[11:0]", - "size": 12 + "name": "clk" }, { - "name": "sel" + "name": "t" } ], "out": [ { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "q" } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": -464, - "y": -104 + "x": 496, + "y": -120 }, "size": { - "width": 304, - "height": 272 + "width": 352, + "height": 288 } } ], "wires": [ { "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "port": "out" + "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "port": "constant-out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "INI" } }, { "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "712723db-f73b-4887-9c99-430b9e3c1e6f", - "port": "in" - }, - "size": 12 + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "clk" + } }, { "source": { - "block": "ede4baec-cd39-4aa2-a324-7924698c41f1", + "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 12 + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "t" + } }, { "source": { - "block": "29f01cf3-7e6d-4f95-9e04-2236886e1e84", - "port": "out" + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "q" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" - }, - "size": 12 + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } } }, - "5124dff3f8dd174c4eadfa302b77435826215918": { + "a4cc064d02cd8523ea1b78dcccc7e249936303c7": { "package": { - "name": "Corazon_ms", - "version": "0.1", - "description": "Bombear 1 bit con el periodo especificado en el parámetro (en ms). Por defecto el periodo es de 100 ms", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22173.749%22%20height=%22156.392%22%20viewBox=%220%200%20162.89028%20146.61832%22%3E%3Cpath%20d=%22M78.495%20143.399c-2.574-4.43-6.565-8.766-14.127-15.35-4.096-3.566-6.588-5.546-20.775-16.506-11.12-8.593-16.668-13.36-23.098-19.847C14.07%2085.208%2010.293%2080.04%207.052%2073.303%204.984%2069%203.562%2064.854%202.676%2060.547c-1.123-5.472-1.275-7.324-1.27-15.382.008-10.573.357-12.332%203.828-19.385%202.58-5.239%204.54-7.997%208.62-12.141%203.963-4.022%206.536-5.85%2012.1-8.6%206.18-3.057%2010.65-3.859%2019.86-3.562%207.157.232%209.776.943%2015.45%204.208%208.929%205.138%2015.858%2013.387%2017.776%2021.162.313%201.27.636%202.312.719%202.312.082%200%20.805-1.487%201.606-3.305%202.727-6.179%205.26-9.95%209.284-13.828%2012.32-11.88%2031.744-14.027%2047.45-5.25%206.414%203.584%2011.633%208.802%2015.676%2015.675%203.184%205.41%204.812%2012.595%205.09%2022.464.402%2014.298-2.214%2024.207-9.174%2034.766-2.763%204.191-4.806%206.73-8.638%2010.73-6.182%206.458-11.758%2011.205-24.92%2021.216-8.307%206.317-13.23%2010.378-20.355%2016.8-5.71%205.143-14.558%2014.1-15.41%2015.596-.372.655-.71%201.19-.749%201.19-.039%200-.544-.816-1.124-1.814z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%222.813%22/%3E%3Ctext%20y=%22136.183%22%20x=%22114.12%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2253.914%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22136.183%22%20x=%22114.12%22%20font-weight=%22700%22%20font-size=%2230.808%22%3Ems%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "12-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (12-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "70887b0b-826c-4150-a873-605b77da8272", - "type": "basic.output", + "id": "eb7adce5-249d-4117-a18b-2eb7e5b8f397", + "type": "basic.input", "data": { - "name": "" + "name": "1", + "range": "[11:0]", + "clock": false, + "size": 12 }, "position": { - "x": 560, - "y": 128 + "x": 328, + "y": -64 } }, { - "id": "4656865c-bcf1-4668-8e13-9221e32222d3", - "type": "basic.input", + "id": "79275c72-5e8f-442b-a3d7-d96d35aa5436", + "type": "basic.output", "data": { "name": "", - "clock": true + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 984, + "y": 24 + } + }, + { + "id": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c", + "type": "basic.input", + "data": { + "name": "0", + "range": "[11:0]", + "clock": false, + "size": 12 }, "position": { - "x": -296, - "y": 128 + "x": 320, + "y": 24 } }, { - "id": "319cdca8-e777-4c8b-88ca-f885ba96ad06", - "type": "basic.constant", + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", "data": { - "name": "", - "value": "100", - "local": false + "name": "sel", + "clock": false }, "position": { - "x": 112, - "y": -224 + "x": 320, + "y": 112 } }, { - "id": "7e864797-b165-408f-a9ce-2e71dc63f8b8", + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "type": "basic.code", "data": { - "code": "\n//parameter HZ=1;\n\n//-- Constante para dividir y obtener una frecuencia de 1Hz\nlocalparam M = 12000*MS;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Registro del divisor\nreg [N-1:0] divcounter;\n\n//-- Temporal clock\nreg clk_t = 0;\n\n//-- Se usa un contador modulo M/2 para luego\n//-- pasarlo por un biestable T y dividir la frecuencia\n//-- entre 2, para que el ciclo de trabajo sea del 50%\nalways @(posedge clk)\n if (divcounter == M/2) begin\n clk_t <= 1;\n divcounter = 0;\n end \n else begin\n divcounter <= divcounter + 1;\n clk_t = 0;\n end \n \nreg clk_o = 0; \n \n//-- Biestable T para obtener ciclo de trabajo del 50%\nalways @(posedge clk)\n if (clk_t)\n clk_o <= ~clk_o;\n", - "params": [ - { - "name": "MS" - } - ], "ports": { "in": [ { - "name": "clk" + "name": "i1", + "range": "[11:0]", + "size": 12 + }, + { + "name": "i0", + "range": "[11:0]", + "size": 12 + }, + { + "name": "sel" } ], "out": [ { - "name": "clk_o" + "name": "o", + "range": "[11:0]", + "size": 12 } ] - } + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" }, "position": { - "x": -104, - "y": -104 + "x": 560, + "y": -80 }, "size": { - "width": 528, - "height": 528 + "width": 304, + "height": 272 } } ], "wires": [ { "source": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk_o" + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" }, "target": { - "block": "70887b0b-826c-4150-a873-605b77da8272", + "block": "79275c72-5e8f-442b-a3d7-d96d35aa5436", "port": "in" - } + }, + "size": 12 }, { "source": { - "block": "4656865c-bcf1-4668-8e13-9221e32222d3", + "block": "eb7adce5-249d-4117-a18b-2eb7e5b8f397", "port": "out" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk" + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" }, - "vertices": [] + "size": 12 }, { "source": { - "block": "319cdca8-e777-4c8b-88ca-f885ba96ad06", - "port": "constant-out" + "block": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c", + "port": "out" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "MS" - } + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" + }, + "size": 12 } ] } } }, - "7455f923e6af399a439f5304f9502a1671a93d81": { + "130c1fe87a38bc44c0e4451bb2ecfde7ea212974": { "package": { "name": "generator-tone-saw", "version": "0.1", @@ -8202,69 +17878,7 @@ "data": { "name": "sample", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "size": 12 }, "position": { "x": 808, @@ -8276,131 +17890,9 @@ "type": "basic.input", "data": { "name": "phase", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { "x": 424, @@ -8573,259 +18065,6 @@ ] } } - }, - "8aafb75b9836728c23e1b5b324baf01016dec3d5": { - "package": { - "name": "split-8-4", - "version": "0.1", - "description": "Split the 12-bit bus into a 8-bits bus and a 4-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "afe50480-6fe5-4ca6-b639-c730569a6a1d", - "type": "basic.output", - "data": { - "name": "o1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false - }, - "position": { - "x": 552, - "y": 176 - } - }, - { - "id": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", - "type": "basic.input", - "data": { - "name": "i", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 56, - "y": 200 - } - }, - { - "id": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", - "type": "basic.output", - "data": { - "name": "o0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false - }, - "position": { - "x": 552, - "y": 232 - } - }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[11:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[11:0]", - "size": 12 - } - ], - "out": [ - { - "name": "o1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 224, - "y": 176 - }, - "size": { - "width": 256, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 12 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "afe50480-6fe5-4ca6-b639-c730569a6a1d", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", - "port": "in" - }, - "size": 4 - } - ] - } - } } } } \ No newline at end of file diff --git a/examples/03-tone-pulse-triangle.ice b/examples/03-tone-pulse-triangle.ice index 0e0362c..cac81b8 100644 --- a/examples/03-tone-pulse-triangle.ice +++ b/examples/03-tone-pulse-triangle.ice @@ -754,18 +754,6 @@ "height": 64 } }, - { - "id": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "type": "27b197ddfe28a2c9581a304b0ccd015cc15cf26e", - "position": { - "x": 2744, - "y": 528 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "de62124e-f283-4394-9e0b-3646526a69bf", "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", @@ -810,30 +798,6 @@ "height": 56 } }, - { - "id": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "type": "b874a1c418f63978103a0af886f5f5a730f47061", - "position": { - "x": 1608, - "y": 232 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "409d054f-820e-44d1-9997-c72117d07134", - "type": "772f42d85230a43faf163474e79bd25bdd1f2aba", - "position": { - "x": 1616, - "y": 608 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "4025c3fc-24e7-49fa-b596-4a5b1b74cd7a", "type": "basic.info", @@ -866,18 +830,6 @@ "height": 32 } }, - { - "id": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "type": "be3c9cd53a16ff19140224bb4472883b9eebb8fc", - "position": { - "x": 2160, - "y": 512 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "c51a9548-0204-47a1-8afb-18f502a54f0d", "type": "basic.info", @@ -927,11 +879,35 @@ } }, { - "id": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "type": "7e4732d019bee7dcd43cb77e27f36dc2a19ff1ad", + "id": "e2f76902-e6d6-4c66-8aeb-49350deee410", + "type": "8aafb75b9836728c23e1b5b324baf01016dec3d5", "position": { - "x": 2496, - "y": 528 + "x": 2296, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "53eb5bc8-08ae-4798-b33b-ca18d73b7503", + "type": "e6e6a86d64d76e9095e5c03c24462c15f0ec682a", + "position": { + "x": 1608, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "8b55f755-9d02-470f-b465-a3d11b27c21b", + "type": "a7499d579ce034fce2c6c201134ee0acbd4232cd", + "position": { + "x": 1616, + "y": 608 }, "size": { "width": 96, @@ -939,11 +915,11 @@ } }, { - "id": "2ecac199-1182-4358-a14f-1d8a287e209d", - "type": "5124dff3f8dd174c4eadfa302b77435826215918", + "id": "71a9d435-49ce-4b79-87f7-7536710fecc6", + "type": "4aa649ed9a98770b6b495b76e13c8991f2ada2f8", "position": { - "x": 2192, - "y": 816 + "x": 2168, + "y": 824 }, "size": { "width": 96, @@ -951,11 +927,23 @@ } }, { - "id": "e2f76902-e6d6-4c66-8aeb-49350deee410", - "type": "8aafb75b9836728c23e1b5b324baf01016dec3d5", + "id": "d542688b-cc05-48ce-a856-a2ff0424630f", + "type": "9bcc065f9661d93d2037a3bccf05b884964e1f4b", "position": { - "x": 2296, - "y": 144 + "x": 2744, + "y": 528 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "77b43422-588f-40f3-8422-8f6bbcd3bc31", + "type": "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223", + "position": { + "x": 2160, + "y": 512 }, "size": { "width": 96, @@ -963,8 +951,8 @@ } }, { - "id": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", - "type": "a2dee03e657a227300f2452e83621f3d51dde843", + "id": "155d409b-fef1-4571-869c-3113319ff1d9", + "type": "4d91143eb0ac88b88669eca9a15ede8720575f51", "position": { "x": 2248, "y": 632 @@ -973,13 +961,25 @@ "width": 96, "height": 64 } + }, + { + "id": "d9ce319a-9c80-401c-95de-0ad94c23e8e3", + "type": "a4cc064d02cd8523ea1b78dcccc7e249936303c7", + "position": { + "x": 2496, + "y": 528 + }, + "size": { + "width": 96, + "height": 96 + } } ], "wires": [ { "source": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "53eb5bc8-08ae-4798-b33b-ca18d73b7503", + "port": "fb8ce452-0454-433b-b40f-e8398e0be05d" }, "target": { "block": "1dc9ade9-9773-4717-a261-88673d386f09", @@ -992,13 +992,13 @@ "port": "outlabel" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "8b55f755-9d02-470f-b465-a3d11b27c21b", "port": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90" } }, { "source": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "8b55f755-9d02-470f-b465-a3d11b27c21b", "port": "bf631fb2-9575-442d-ae82-71dd0be21888" }, "target": { @@ -1013,15 +1013,15 @@ "port": "outlabel" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "77b43422-588f-40f3-8422-8f6bbcd3bc31", "port": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9" }, "size": 24 }, { "source": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "712723db-f73b-4887-9c99-430b9e3c1e6f" + "block": "d9ce319a-9c80-401c-95de-0ad94c23e8e3", + "port": "79275c72-5e8f-442b-a3d7-d96d35aa5436" }, "target": { "block": "d6b737e4-7284-4bac-a34b-a593c3f157df", @@ -1052,8 +1052,8 @@ }, { "source": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" + "block": "d542688b-cc05-48ce-a856-a2ff0424630f", + "port": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d" }, "target": { "block": "66d901ac-25da-4b75-95e7-26134253afcb", @@ -1077,8 +1077,8 @@ "port": "constant-out" }, "target": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "608882f4-bffa-4cac-940f-99f60d98ad53" + "block": "53eb5bc8-08ae-4798-b33b-ca18d73b7503", + "port": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd" } }, { @@ -1087,7 +1087,7 @@ "port": "92dade38-46ec-4714-b28b-f4bb1aeca992" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "8b55f755-9d02-470f-b465-a3d11b27c21b", "port": "4329f2d6-e1ce-4034-becb-e7fda9e134a8" }, "size": 16 @@ -1098,33 +1098,22 @@ "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "77b43422-588f-40f3-8422-8f6bbcd3bc31", "port": "42537563-f4f5-4a36-abbb-3a8111a7cd98" }, "size": 8 }, { "source": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "712723db-f73b-4887-9c99-430b9e3c1e6f" + "block": "d9ce319a-9c80-401c-95de-0ad94c23e8e3", + "port": "79275c72-5e8f-442b-a3d7-d96d35aa5436" }, "target": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "5b2833db-e0ab-43e7-bb2b-528f9940baf6" + "block": "d542688b-cc05-48ce-a856-a2ff0424630f", + "port": "56c80258-8515-47c4-98b9-af247b8fa77b" }, "size": 12 }, - { - "source": { - "block": "444b9f71-281a-45cd-89a3-462755c47396", - "port": "constant-out" - }, - "target": { - "block": "2ecac199-1182-4358-a14f-1d8a287e209d", - "port": "319cdca8-e777-4c8b-88ca-f885ba96ad06" - }, - "vertices": [] - }, { "source": { "block": "e2f76902-e6d6-4c66-8aeb-49350deee410", @@ -1138,52 +1127,62 @@ }, { "source": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480" + "block": "71a9d435-49ce-4b79-87f7-7536710fecc6", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "29f01cf3-7e6d-4f95-9e04-2236886e1e84" + "block": "d9ce319a-9c80-401c-95de-0ad94c23e8e3", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" + } + }, + { + "source": { + "block": "c0ff7262-3445-45b1-94c3-6406a5555e33", + "port": "outlabel" }, - "size": 12 + "target": { + "block": "155d409b-fef1-4571-869c-3113319ff1d9", + "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" + }, + "size": 24 }, { "source": { - "block": "2ecac199-1182-4358-a14f-1d8a287e209d", - "port": "70887b0b-826c-4150-a873-605b77da8272" + "block": "444b9f71-281a-45cd-89a3-462755c47396", + "port": "constant-out" }, "target": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "block": "71a9d435-49ce-4b79-87f7-7536710fecc6", + "port": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10" } }, { "source": { - "block": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", + "block": "155d409b-fef1-4571-869c-3113319ff1d9", "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { - "block": "03cb4146-0b13-4403-b3fb-15e1af76ee5e", - "port": "ede4baec-cd39-4aa2-a324-7924698c41f1" + "block": "d9ce319a-9c80-401c-95de-0ad94c23e8e3", + "port": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c" }, "vertices": [ { - "x": 2440, - "y": 608 + "x": 2448, + "y": 632 } ], "size": 12 }, { "source": { - "block": "c0ff7262-3445-45b1-94c3-6406a5555e33", - "port": "outlabel" + "block": "77b43422-588f-40f3-8422-8f6bbcd3bc31", + "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480" }, "target": { - "block": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", - "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" + "block": "d9ce319a-9c80-401c-95de-0ad94c23e8e3", + "port": "eb7adce5-249d-4117-a18b-2eb7e5b8f397" }, - "size": 24 + "size": 12 } ] } @@ -1283,144 +1282,118 @@ } } }, - "27b197ddfe28a2c9581a304b0ccd015cc15cf26e": { + "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { "package": { - "name": "PDM-1bit-DAC", - "version": "0.1", - "description": "Pulse-density modulated 1-bit DAC", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588403710364 + "name": "Constante-8bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", - "type": "basic.input", + "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "k", + "range": "[7:0]", + "size": 8 }, "position": { - "x": -8, - "y": 64 + "x": 960, + "y": 248 } }, { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "type": "basic.inputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 136, - "y": 64 + "x": 728, + "y": 128 } }, { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "type": "basic.outputLabel", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 912, - "y": 168 - } - }, - { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "x": 672, + "y": 248 }, - "position": { - "x": 128, - "y": 208 + "size": { + "width": 208, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "type": "basic.output", - "data": { - "name": "out" + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, - "position": { - "x": 1192, - "y": 256 + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", - "size": 12 + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, - "position": { - "x": 136, - "y": 320 - } - }, - { - "id": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "din", - "range": "[11:0]", - "oldBlockColor": "navy", - "size": 12 + "target": { + "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "port": "in" }, - "position": { - "x": 344, - "y": 368 - } - }, - { - "id": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", + "size": 8 + } + ] + } + } + }, + "8aafb75b9836728c23e1b5b324baf01016dec3d5": { + "package": { + "name": "split-8-4", + "version": "0.1", + "description": "Split the 12-bit bus into a 8-bits bus and a 4-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "type": "basic.output", + "data": { + "name": "o1", + "range": "[7:0]", "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, { "index": "7", "name": "", @@ -1462,32 +1435,18 @@ "value": "" } ], - "virtual": true + "virtual": false }, "position": { - "x": 824, - "y": 384 + "x": 552, + "y": 176 } }, { - "id": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", + "id": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", "type": "basic.input", "data": { - "name": "din", - "range": "[11:0]", - "clock": false, - "size": 12 - }, - "position": { - "x": 0, - "y": 520 - } - }, - { - "id": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "type": "basic.inputLabel", - "data": { - "name": "din", + "name": "i", "range": "[11:0]", "pins": [ { @@ -1551,551 +1510,665 @@ "value": "" } ], - "virtual": true, - "oldBlockColor": "navy", - "blockColor": "navy" + "virtual": false, + "clock": false }, "position": { - "x": 160, - "y": 520 + "x": 56, + "y": 200 } }, { - "id": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "type": "322ac6e3834f854f1ff8aaea07062751a7577cbf", - "position": { - "x": 288, - "y": 272 + "id": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 552, + "y": 232 } }, { - "id": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[11:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, "position": { - "x": 488, - "y": 288 + "x": 224, + "y": 176 }, "size": { - "width": 96, - "height": 64 + "width": 256, + "height": 112 } - }, + } + ], + "wires": [ { - "id": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "type": "117c154c9710e7538dc4149a2241691940cc4a09", - "position": { - "x": 688, - "y": 288 + "source": { + "block": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", + "port": "out" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 }, { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 1048, - "y": 256 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, - "size": { - "width": 96, - "height": 64 - } + "target": { + "block": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "port": "in" + }, + "size": 8 }, { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", - "type": "basic.info", - "data": { - "info": "Accumulator", - "readonly": true + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "position": { - "x": 304, - "y": 216 + "target": { + "block": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "port": "in" }, - "size": { - "width": 120, - "height": 40 - } - }, + "size": 4 + } + ] + } + } + }, + "e6e6a86d64d76e9095e5c03c24462c15f0ec682a": { + "package": { + "name": "Generic-comp", + "version": "0.3", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1717074086430 + }, + "design": { + "graph": { + "blocks": [ { - "id": "12428847-e480-477f-be65-13b493b6c88c", - "type": "basic.info", + "id": "cb293ecb-e039-46f8-925f-3846c61121cb", + "type": "basic.input", "data": { - "info": "Add the incoming sample \nto the accumulator", - "readonly": true + "name": "", + "clock": true }, "position": { - "x": 464, - "y": 216 - }, - "size": { - "width": 200, - "height": 56 + "x": 176, + "y": 464 } }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", - "type": "basic.info", + "id": "341ecb90-c222-498d-b060-03a84934ae42", + "type": "basic.inputLabel", "data": { - "info": "Incoming sample", - "readonly": true + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 48, - "y": 488 - }, - "size": { - "width": 152, - "height": 40 + "x": 320, + "y": 464 } }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", - "type": "basic.info", + "id": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", + "type": "basic.outputLabel", "data": { - "info": "Accumulator overflow \ncarry set (MSB)", - "readonly": true + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 696, - "y": 216 - }, - "size": { - "width": 184, - "height": 56 + "x": 904, + "y": 752 } }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", + "id": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 520, + "y": 800 + } + }, + { + "id": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1224, + "y": 816 + } + }, + { + "id": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "type": "basic.constant", + "data": { + "name": "FULL_SCALE", + "value": "2**26", + "local": true + }, + "position": { + "x": 216, + "y": 736 + } + }, + { + "id": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "type": "basic.constant", + "data": { + "name": "sys", + "value": "12", + "local": false + }, + "position": { + "x": 368, + "y": 736 + } + }, + { + "id": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", + "position": { + "x": 864, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9911354d-905b-4a15-9145-f91f62b8cb74", + "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "position": { + "x": 320, + "y": 864 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7a1019da-b362-4368-8a7b-ebe37beaad69", "type": "basic.info", "data": { - "info": "Pulse-density modulated \noutput signal", + "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", "readonly": true }, "position": { - "x": 1184, - "y": 208 + "x": 320, + "y": 640 }, "size": { - "width": 200, - "height": 56 + "width": 376, + "height": 72 } }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", + "id": "7027d28e-a7d4-4956-806f-6c5ffb04e545", "type": "basic.info", "data": { - "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "info": "## 1MHZ Heart", "readonly": true }, "position": { - "x": 320, - "y": -256 + "x": 568, + "y": 424 }, "size": { - "width": 760, - "height": 272 + "width": 136, + "height": 40 } }, { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", + "id": "0349028a-b6ab-4724-87cb-fa615235b14d", "type": "basic.info", "data": { - "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "info": "Constant value: \nk = Full_scale / Sys_clock", "readonly": true }, "position": { - "x": 1272, - "y": -248 + "x": 424, + "y": 912 }, "size": { - "width": 496, - "height": 184 + "width": 224, + "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", - "port": "out" + "id": "342ebac8-1c7d-40a2-86c3-80fee0f97ad0", + "type": "basic.info", + "data": { + "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", + "readonly": true }, - "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "port": "inlabel" + "position": { + "x": 584, + "y": 744 }, - "vertices": [] + "size": { + "width": 336, + "height": 56 + } }, { - "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" + "id": "18c59370-73e4-4e97-bf75-08f720ef4b7a", + "type": "basic.info", + "data": { + "info": "The output is a tic", + "readonly": true }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "position": { + "x": 1080, + "y": 768 + }, + "size": { + "width": 184, + "height": 48 } }, { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "port": "outlabel" + "id": "776614c6-7e90-4828-ac11-cf91158e0156", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1056, + "y": 816 }, - "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "size": { + "width": 96, + "height": 64 } }, + { + "id": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "type": "796c3e94f671052cf01acc3e9dc67782339a169e", + "position": { + "x": 648, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "port": "out", - "size": 12 + "block": "cb293ecb-e039-46f8-925f-3846c61121cb", + "port": "out" }, "target": { - "block": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", + "block": "341ecb90-c222-498d-b060-03a84934ae42", "port": "inlabel" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "block": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "port": "outlabel" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "size": 12 + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "2708468d-1088-4570-be63-fb0d4799a941" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "d3293383-456c-471c-974d-342cc97e652b", - "size": 12 + "block": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", + "port": "outlabel" }, "target": { - "block": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "port": "inlabel" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "port": "outlabel" + "block": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "port": "constant-out" }, "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "size": 12 + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "56066111-a701-4e2c-b64c-aeed7831cf00" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + "block": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "port": "constant-out" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" }, - "vertices": [], - "size": 12 + "vertices": [] }, { "source": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + "block": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "port": "in" }, - "vertices": [], - "size": 13 + "vertices": [] }, { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" }, "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, "vertices": [] }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" }, - "vertices": [] + "vertices": [], + "size": 26 + }, + { + "source": { + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "b528263e-f7ac-434f-b590-7de7a093d85c" + }, + "target": { + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" + }, + "vertices": [], + "size": 26 } ] } } }, - "322ac6e3834f854f1ff8aaea07062751a7577cbf": { + "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { "package": { - "name": "Registro-12bits-system", + "name": "split-1-25-bus", "version": "0.1", - "description": "Registro de 12 bits", + "description": "Split the 26bits into 1 bits an a 25-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 120, - "y": 192 - } - }, - { - "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", "type": "basic.output", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "name": "o1" }, "position": { - "x": 632, - "y": 248 + "x": 584, + "y": 144 } }, { - "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", + "name": "i", + "range": "[25:0]", "clock": false, - "size": 12 + "size": 26 }, "position": { - "x": 120, - "y": 296 + "x": 136, + "y": 200 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "o0", + "range": "[24:0]", + "size": 25 }, "position": { - "x": 376, - "y": 56 + "x": 592, + "y": 248 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "localparam N = 12;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { + "code": "assign o1 = i[25];\nassign o0 = i[24:0];", + "params": [], + "ports": { "in": [ { - "name": "clk" - }, - { - "name": "d", - "range": "[11:0]", - "size": 12 + "name": "i", + "range": "[25:0]", + "size": 26 } ], "out": [ { - "name": "q", - "range": "[11:0]", - "size": 12 + "name": "o1" + }, + { + "name": "o0", + "range": "[24:0]", + "size": 25 } ] } }, "position": { - "x": 280, + "x": 296, "y": 176 }, "size": { - "width": 288, - "height": 200 + "width": 208, + "height": 112 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", "port": "in" }, - "size": 12 + "size": 25 }, { "source": { - "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 12 + "size": 26 } ] } } }, - "29c9a45c6996a3804d02693a6f8a8daf6a157256": { + "88097a55204933bef05c6297042b1543bf8e94a9": { "package": { - "name": "sum-2op-12bits", + "name": "contant-division", "version": "0.1", - "description": "Sumador de dos operandos de 12 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Calculate a new constant value dividing k1 / k2", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588415989667 }, "design": { "graph": { "blocks": [ { - "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "type": "basic.input", + "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "type": "basic.output", "data": { "name": "", - "range": "[11:0]", + "range": "[25:0]", "pins": [ { - "index": "11", + "index": "25", "name": "", "value": "" }, { - "index": "10", + "index": "24", "name": "", "value": "" }, { - "index": "9", + "index": "23", "name": "", "value": "" }, { - "index": "8", + "index": "22", "name": "", "value": "" }, { - "index": "7", + "index": "21", "name": "", "value": "" }, { - "index": "6", + "index": "20", "name": "", "value": "" }, { - "index": "5", + "index": "19", "name": "", "value": "" }, { - "index": "4", + "index": "18", "name": "", "value": "" }, { - "index": "3", + "index": "17", "name": "", "value": "" }, { - "index": "2", + "index": "16", "name": "", "value": "" }, { - "index": "1", + "index": "15", "name": "", "value": "" }, { - "index": "0", + "index": "14", "name": "", "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 224, - "y": 176 - } - }, - { - "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "type": "basic.output", - "data": { - "name": "", - "range": "[12:0]", - "pins": [ + }, + { + "index": "13", + "name": "", + "value": "" + }, { "index": "12", "name": "", @@ -2165,1222 +2238,1060 @@ "virtual": false }, "position": { - "x": 672, - "y": 192 + "x": 856, + "y": 104 } }, { - "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "type": "basic.input", + "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "type": "basic.constant", "data": { - "name": "", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "k1", + "value": "12", + "local": false }, "position": { - "x": 224, - "y": 248 + "x": 424, + "y": -24 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "type": "basic.constant", + "data": { + "name": "k2", + "value": "12", + "local": false + }, + "position": { + "x": 624, + "y": -32 + } + }, + { + "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", "type": "basic.code", "data": { - "code": "assign s = a + b;", - "params": [], + "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", + "params": [ + { + "name": "K1" + }, + { + "name": "K2" + } + ], "ports": { - "in": [ - { - "name": "a", - "range": "[11:0]", - "size": 12 - }, - { - "name": "b", - "range": "[11:0]", - "size": 12 - } - ], + "in": [], "out": [ { - "name": "s", - "range": "[12:0]", - "size": 13 + "name": "k", + "range": "[25:0]", + "size": 26 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 368, + "y": 80 }, "size": { - "width": 216, - "height": 64 + "width": 408, + "height": 104 } } ], "wires": [ { "source": { - "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "port": "out" + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "k" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "port": "in" }, - "size": 12 + "size": 26 }, { "source": { - "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "port": "out" + "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "port": "constant-out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 12 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K1" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "port": "constant-out" }, "target": { - "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "port": "in" - }, - "size": 13 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K2" + } } ] } } }, - "117c154c9710e7538dc4149a2241691940cc4a09": { + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { "package": { - "name": "split-1-12-bus", - "version": "0.1", - "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", "type": "basic.output", "data": { - "name": "o1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": false + "name": "nc" }, "position": { - "x": 584, - "y": 144 + "x": 840, + "y": 160 } }, { - "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "type": "basic.input", "data": { - "name": "i", - "range": "[12:0]", - "pins": [ - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "", "clock": false }, "position": { - "x": 144, - "y": 200 + "x": 152, + "y": 280 } }, { - "id": "d3293383-456c-471c-974d-342cc97e652b", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "type": "basic.output", "data": { - "name": "o0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "" }, "position": { - "x": 576, - "y": 240 + "x": 840, + "y": 400 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", "data": { - "code": "assign o1 = i[12];\nassign o0 = i[11:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[12:0]", - "size": 13 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 - } - ] - } + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 176, + "y": -16 }, "size": { - "width": 208, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "width": 568, + "height": 80 } }, { - "source": { - "block": "ecf75200-3425-42bf-b093-a4b731cadab6", - "port": "out" + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "position": { + "x": 160, + "y": 256 }, - "size": 13 + "size": { + "width": 136, + "height": 40 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "d3293383-456c-471c-974d-342cc97e652b", - "port": "in" + "position": { + "x": 168, + "y": 120 }, - "size": 12 - } - ] - } - } - }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { - "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 96, + "height": 48 + } + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Current signal \nstate", + "readonly": true }, "position": { - "x": 192, - "y": 136 + "x": 328, + "y": 456 + }, + "size": { + "width": 168, + "height": 48 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "" + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { - "x": 680, - "y": 184 + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true }, "position": { - "x": 192, - "y": 232 + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", "data": { - "name": "", - "value": "0", - "local": false + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true }, "position": { - "x": 456, - "y": 64 + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 280 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 384, - "y": 168 + "x": 696, + "y": 400 }, "size": { - "width": 232, - "height": 88 + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" } } ] } } }, - "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "Constante-8bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 960, - "y": 248 + "x": 112, + "y": 72 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "" }, "position": { - "x": 728, - "y": 128 + "x": 560, + "y": 72 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a" + } + ], "out": [ { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "q" } ] } }, "position": { - "x": 672, - "y": 248 + "x": 256, + "y": 48 }, "size": { - "width": 208, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" - }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "width": 256, + "height": 104 } }, { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, "target": { - "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", - "port": "in" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, - "size": 8 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "b874a1c418f63978103a0af886f5f5a730f47061": { + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { "package": { - "name": "Generic-comp", - "version": "0.1", - "description": "Componente genérico", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588419319540 + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "" }, "position": { - "x": 112, - "y": -160 + "x": 96, + "y": 56 } }, { - "id": "3c21d0b8-1500-4302-8605-4d6ed5629399", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "" }, "position": { - "x": 256, - "y": -160 + "x": 600, + "y": 96 } }, { - "id": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "type": "basic.outputLabel", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "" }, "position": { - "x": 840, + "x": 96, "y": 128 } }, { - "id": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "type": "basic.outputLabel", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 456, - "y": 176 + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { + "package": { + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", "type": "basic.output", "data": { - "name": "" + "name": "nc" }, "position": { - "x": 1160, - "y": 192 + "x": 816, + "y": 112 } }, { - "id": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "type": "basic.constant", + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", "data": { - "name": "FULL_SCALE", - "value": "2**26", - "local": true + "name": "", + "clock": true }, "position": { - "x": 152, - "y": 112 + "x": 208, + "y": 184 } }, { - "id": "608882f4-bffa-4cac-940f-99f60d98ad53", - "type": "basic.constant", + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", "data": { - "name": "sys", - "value": "12", - "local": false + "name": "" }, "position": { - "x": 304, - "y": 112 + "x": 816, + "y": 232 } }, { - "id": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 992, - "y": 192 + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 208, + "y": 280 } }, { - "id": "cc596b64-394a-42a6-8d89-6c3835af332b", - "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", - "position": { - "x": 800, - "y": 224 + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 512, + "y": 64 } }, { - "id": "67203b56-8451-47ba-959a-75491b1726b7", - "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, "position": { - "x": 256, - "y": 240 + "x": 384, + "y": 168 }, "size": { - "width": 96, - "height": 64 + "width": 352, + "height": 192 } }, { - "id": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "type": "81a70a6cf9e19133ae28596244673dd8b334975a", + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, "position": { - "x": 584, - "y": 224 + "x": 488, + "y": 32 }, "size": { - "width": 96, - "height": 64 + "width": 208, + "height": 40 } }, { - "id": "32f7ed09-9081-4108-bb42-b2a237a9f44f", + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", "type": "basic.info", "data": { - "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", + "info": "System clock", "readonly": true }, "position": { - "x": 256, - "y": 16 + "x": 208, + "y": 160 }, "size": { - "width": 376, - "height": 72 + "width": 120, + "height": 32 } }, { - "id": "c08702d3-eaf3-4131-a428-78c06a027c37", + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", "type": "basic.info", "data": { - "info": "## 1MHZ Heart", + "info": "Input data", "readonly": true }, "position": { - "x": 504, - "y": -200 + "x": 224, + "y": 256 }, "size": { - "width": 136, + "width": 112, "height": 40 } }, { - "id": "303020b0-64ad-4fe4-ac69-6d2d657cae25", + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", "type": "basic.info", "data": { - "info": "Constant value: \nk = Full_scale / Sys_clock", + "info": "Output", "readonly": true }, "position": { - "x": 360, - "y": 288 + "x": 840, + "y": 200 }, "size": { - "width": 224, - "height": 64 + "width": 80, + "height": 40 } }, { - "id": "b3ce1313-5336-44c8-854a-87ac1797e696", + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", "type": "basic.info", "data": { - "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", "readonly": true }, "position": { - "x": 520, - "y": 120 + "x": 144, + "y": -136 }, "size": { - "width": 336, - "height": 56 + "width": 488, + "height": 104 } }, { - "id": "ff952d5a-c406-4ca7-9cb8-1a31ac75f4f6", + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", "type": "basic.info", "data": { - "info": "The output is a tic", + "info": "Not connected", "readonly": true }, "position": { - "x": 1016, - "y": 144 + "x": 808, + "y": 88 }, "size": { - "width": 184, - "height": 48 + "width": 176, + "height": 32 } } ], "wires": [ { "source": { - "block": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", "port": "out" }, "target": { - "block": "3c21d0b8-1500-4302-8605-4d6ed5629399", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "port": "outlabel" - }, - "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" } }, { "source": { - "block": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "port": "outlabel" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "4196184b-4a60-493b-bcc6-c95958483683" + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" } }, { "source": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" - }, - "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" - }, - "vertices": [] - }, - { - "source": { - "block": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "port": "constant-out" - }, - "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "56066111-a701-4e2c-b64c-aeed7831cf00" - }, - "vertices": [] - }, - { - "source": { - "block": "608882f4-bffa-4cac-940f-99f60d98ad53", + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", "port": "constant-out" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" - }, - "vertices": [] - }, - { - "source": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" - }, - "target": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" - }, - "vertices": [], - "size": 26 - }, - { - "source": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "b528263e-f7ac-434f-b590-7de7a093d85c" - }, - "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" - }, - "vertices": [], - "size": 26 + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } }, { "source": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" } } ] } } }, - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { + "796c3e94f671052cf01acc3e9dc67782339a169e": { "package": { - "name": "Subida", - "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", + "name": "26-bits-accumulator", + "version": "0.2", + "description": "26-bits accumulator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 }, "design": { "graph": { "blocks": [ { - "id": "4196184b-4a60-493b-bcc6-c95958483683", + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 152, - "y": 176 + "x": 48, + "y": 40 } }, { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "type": "basic.output", + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", "data": { - "name": "" + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 624, - "y": 200 + "x": 192, + "y": 40 } }, { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "type": "basic.input", + "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "type": "basic.output", "data": { "name": "", - "clock": false + "range": "[25:0]", + "size": 26 }, "position": { - "x": 152, - "y": 232 + "x": 1000, + "y": 136 } }, { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "type": "basic.code", + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", - "params": [], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 336, - "y": 176 - }, - "size": { - "width": 232, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" + "x": 264, + "y": 192 } }, { - "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" + "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 }, - "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "port": "in" + "position": { + "x": 256, + "y": 256 } }, { - "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" - } - } - ] - } - } - }, - "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { - "package": { - "name": "split-1-25-bus", - "version": "0.1", - "description": "Split the 26bits into 1 bits an a 25-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "type": "basic.output", + "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "type": "basic.inputLabel", "data": { - "name": "o1" + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 584, - "y": 144 + "x": 824, + "y": 272 } }, { - "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", "type": "basic.input", "data": { - "name": "i", + "name": "inc", "range": "[25:0]", "clock": false, "size": 26 }, "position": { - "x": 136, - "y": 200 + "x": 16, + "y": 376 } }, { - "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "type": "basic.output", - "data": { - "name": "o0", - "range": "[24:0]", - "size": 25 - }, + "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", "position": { - "x": 592, - "y": 248 + "x": 648, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o1 = i[25];\nassign o0 = i[24:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[24:0]", - "size": 25 - } - ] - } - }, + "id": "1df42869-92b9-4d52-9f23-8c11c353e787", + "type": "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6", "position": { - "x": 296, - "y": 176 + "x": 432, + "y": 240 }, "size": { - "width": 208, - "height": 112 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" }, "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "port": "outlabel" + }, + "target": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "554e0534-d5da-4c8a-a78e-182a3698839e", + "size": 26 + }, + "size": 26 + }, + { + "source": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "size": 26 + }, + "target": { + "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "port": "inlabel" + }, + "size": 26 + }, + { + "source": { + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" + }, + "target": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "ddb7faf8-5783-464a-806d-e7cb3e38683b" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" }, "target": { - "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "port": "in" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "0c88add0-cbf5-4d14-967b-417400538a29" }, - "size": 25 + "vertices": [], + "size": 26 }, { "source": { - "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + }, + "size": 26 + }, + { + "source": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" + }, + "target": { + "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "port": "in" }, "size": 26 } @@ -3388,207 +3299,80 @@ } } }, - "88097a55204933bef05c6297042b1543bf8e94a9": { + "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { "package": { - "name": "contant-division", + "name": "sum-2op-26bits", "version": "0.1", - "description": "Calculate a new constant value dividing k1 / k2", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588415989667 + "description": "24-bits adder with two operands", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "b528263e-f7ac-434f-b590-7de7a093d85c", - "type": "basic.output", + "id": "0c88add0-cbf5-4d14-967b-417400538a29", + "type": "basic.input", "data": { "name": "", "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "clock": false, + "size": 26 }, "position": { - "x": 856, - "y": 104 + "x": 192, + "y": 144 } }, { - "id": "56066111-a701-4e2c-b64c-aeed7831cf00", - "type": "basic.constant", + "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "type": "basic.output", "data": { - "name": "k1", - "value": "12", - "local": false + "name": "", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 424, - "y": -24 + "x": 672, + "y": 192 } }, { - "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "type": "basic.constant", + "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "type": "basic.input", "data": { - "name": "k2", - "value": "12", - "local": false + "name": "", + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 624, - "y": -32 + "x": 192, + "y": 256 } }, { - "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", - "params": [ - { - "name": "K1" - }, - { - "name": "K2" - } - ], + "code": "assign s = a + b;", + "params": [], "ports": { - "in": [], + "in": [ + { + "name": "a", + "range": "[25:0]", + "size": 26 + }, + { + "name": "b", + "range": "[25:0]", + "size": 26 + } + ], "out": [ { - "name": "k", + "name": "s", "range": "[25:0]", "size": 26 } @@ -3596,56 +3380,220 @@ } }, "position": { - "x": 368, - "y": 80 + "x": 384, + "y": 192 }, "size": { - "width": 408, - "height": 104 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "k" + "block": "0c88add0-cbf5-4d14-967b-417400538a29", + "port": "out" }, "target": { - "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 26 + }, + { + "source": { + "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 26 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "port": "in" }, "size": 26 + } + ] + } + } + }, + "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6": { + "package": { + "name": "26-Sys-reg", + "version": "0.8", + "description": "26-Sys-reg: 26 bits system register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e1a94202-b953-492e-98ef-7f33548e273b", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 968, + "y": 48 + } + }, + { + "id": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 384, + "y": 88 + } + }, + { + "id": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 968, + "y": 144 + } + }, + { + "id": "554e0534-d5da-4c8a-a78e-182a3698839e", + "type": "basic.input", + "data": { + "name": "", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 376, + "y": 200 + } + }, + { + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 664, + "y": -48 + } }, + { + "id": "a488e8ac-cd04-4656-9880-218437a4aba0", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[25:0]", + "size": 26 + } + ], + "out": [ + { + "name": "q", + "range": "[25:0]", + "size": 26 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 26;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n\n" + }, + "position": { + "x": 536, + "y": 64 + }, + "size": { + "width": 352, + "height": 224 + } + } + ], + "wires": [ { "source": { - "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", "port": "constant-out" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K1" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "INI" } }, { "source": { - "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "port": "constant-out" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "q" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K2" + "block": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "port": "in" + }, + "size": 26 + }, + { + "source": { + "block": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "port": "out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "clk" } + }, + { + "source": { + "block": "554e0534-d5da-4c8a-a78e-182a3698839e", + "port": "out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "d" + }, + "size": 26 } ] } } }, - "81a70a6cf9e19133ae28596244673dd8b334975a": { + "a7499d579ce034fce2c6c201134ee0acbd4232cd": { "package": { - "name": "26-bits-accumulator", - "version": "0.1", - "description": "26-bits accumulator", + "name": "24-bits-accumulator", + "version": "0.2", + "description": "24-bits accumulator", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", "otid": 1588416830381 @@ -3670,15 +3618,7 @@ "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { "x": 192, @@ -3686,16 +3626,16 @@ } }, { - "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "id": "bf631fb2-9575-442d-ae82-71dd0be21888", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "size": 26 + "range": "[23:0]", + "size": 24 }, "position": { - "x": 1000, - "y": 136 + "x": 680, + "y": 152 } }, { @@ -3706,151 +3646,33 @@ "name": "clk", "oldBlockColor": "yellow" }, + "position": { + "x": 312, + "y": 176 + } + }, + { + "id": "ca7c2d52-5293-4143-9758-468e17d643c2", + "type": "basic.outputLabel", + "data": { + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 + }, "position": { "x": 280, - "y": 208 + "y": 256 } }, { - "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "id": "77d4f680-5d59-458b-8b56-69633057a69c", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", "name": "next", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 }, "position": { "x": 824, @@ -3858,39 +3680,37 @@ } }, { - "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "type": "basic.outputLabel", + "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "size": 26 + "name": "inc", + "range": "[15:0]", + "clock": false, + "size": 16 }, "position": { - "x": 272, - "y": 272 + "x": 48, + "y": 336 } }, { - "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", "type": "basic.input", "data": { - "name": "inc", - "range": "[25:0]", - "clock": false, - "size": 26 + "name": "add", + "clock": false }, "position": { - "x": 16, - "y": 376 + "x": 48, + "y": 416 } }, { - "id": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "type": "335f07bcdf418bb67136b63c8cfc389233bbc50d", + "id": "a65b6302-05d5-4df6-b308-44ec4b939901", + "type": "380890f86e3a886844470fb5c8431265046f197c", "position": { - "x": 448, - "y": 256 + "x": 648, + "y": 272 }, "size": { "width": 96, @@ -3898,15 +3718,15 @@ } }, { - "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "id": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "type": "750d007793329bb1f20002d08e38074d9bdd4f76", "position": { - "x": 648, - "y": 272 + "x": 448, + "y": 240 }, "size": { "width": 96, - "height": 64 + "height": 96 } } ], @@ -3924,401 +3744,465 @@ }, { "source": { - "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "block": "39c91753-cc29-4676-9a34-24470df724b7", "port": "outlabel" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "size": 26 - }, - "size": 26 + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" + } }, { "source": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "size": 26 + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "size": 24 }, "target": { - "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "block": "77d4f680-5d59-458b-8b56-69633057a69c", "port": "inlabel" }, - "size": 26 + "size": 24 }, { "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", + "block": "ca7c2d52-5293-4143-9758-468e17d643c2", "port": "outlabel" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "size": 24 + }, + "size": 24 }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "0c88add0-cbf5-4d14-967b-417400538a29" + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" }, - "vertices": [], - "size": 26 + "size": 24 }, { "source": { - "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "port": "out" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + "block": "bf631fb2-9575-442d-ae82-71dd0be21888", + "port": "in" }, - "size": 26 + "size": 24 }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "port": "out" }, "target": { - "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", - "port": "in" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "port": "out" }, - "size": 26 + "target": { + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "a8a28134-418c-49be-9550-f546432bebc9" + }, + "size": 16 } ] } } }, - "335f07bcdf418bb67136b63c8cfc389233bbc50d": { + "380890f86e3a886844470fb5c8431265046f197c": { "package": { - "name": "26-bits-register", + "name": "sum-24-16->24bits", "version": "0.1", - "description": "24-bits system register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 88, - "y": 192 + "x": 336, + "y": 136 } }, { - "id": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "size": 26 + "range": "[23:0]", + "size": 24 }, "position": { - "x": 640, - "y": 248 + "x": 680, + "y": 192 } }, { - "id": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "id": "a8a28134-418c-49be-9550-f546432bebc9", "type": "basic.input", "data": { "name": "", - "range": "[25:0]", + "range": "[15:0]", "clock": false, - "size": 26 + "size": 16 }, "position": { - "x": 88, - "y": 296 + "x": 48, + "y": 272 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, + "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "type": "0b81b8e1e01d92b35ab459001f261115129544e8", "position": { - "x": 376, - "y": 56 + "x": 320, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 26;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "q", - "range": "[25:0]", - "size": 26 - } - ] - } + "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", + "position": { + "x": 144, + "y": 208 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "899734d5-1063-4268-9135-20b5aff4c0fb", + "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", "position": { - "x": 280, - "y": 176 + "x": 504, + "y": 192 }, "size": { - "width": 288, - "height": 200 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "a8a28134-418c-49be-9550-f546432bebc9", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" + }, + "size": 8 + }, + { + "source": { + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" + }, + "target": { + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "port": "in" + }, + "size": 24 }, { "source": { - "block": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" }, - "size": 26 + "size": 24 }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" }, "target": { - "block": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", - "port": "in" + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" }, - "size": 26 + "size": 24 } ] } } }, - "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { + "0b81b8e1e01d92b35ab459001f261115129544e8": { "package": { - "name": "sum-2op-26bits", + "name": "Agregador-8-16-bus", "version": "0.1", - "description": "24-bits adder with two operands", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "0c88add0-cbf5-4d14-967b-417400538a29", + "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", "type": "basic.input", "data": { - "name": "", - "range": "[25:0]", + "name": "i1", + "range": "[7:0]", "clock": false, - "size": 26 + "size": 8 }, "position": { - "x": 192, + "x": 112, "y": 144 } }, { - "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", "type": "basic.output", "data": { - "name": "", - "range": "[25:0]", - "size": 26 + "name": "o", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 672, - "y": 192 + "x": 608, + "y": 200 } }, { - "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", "type": "basic.input", "data": { - "name": "", - "range": "[25:0]", + "name": "i0", + "range": "[15:0]", "clock": false, - "size": 26 + "size": 16 }, "position": { - "x": 192, - "y": 256 + "x": 128, + "y": 288 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign s = a + b;", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[25:0]", - "size": 26 + "name": "i1", + "range": "[7:0]", + "size": 8 }, { - "name": "b", - "range": "[25:0]", - "size": 26 + "name": "i0", + "range": "[15:0]", + "size": 16 } ], "out": [ { - "name": "s", - "range": "[25:0]", - "size": 26 + "name": "o", + "range": "[23:0]", + "size": 24 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 296, + "y": 176 }, "size": { - "width": 216, - "height": 64 + "width": 224, + "height": 112 } } ], "wires": [ { "source": { - "block": "0c88add0-cbf5-4d14-967b-417400538a29", + "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 26 + "size": 8 }, { "source": { - "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "size": 26 + "size": 16 }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", "port": "in" }, - "size": 26 + "size": 24 } ] } } }, - "772f42d85230a43faf163474e79bd25bdd1f2aba": { + "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { "package": { - "name": "24-bits-accumulator CLONE", - "version": "0.1", - "description": "24-bits accumulator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "name": "Valor_0_8bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 8 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "type": "basic.input", + "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "k", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 48, - "y": 40 + "x": 928, + "y": 256 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "value": "0", + "local": true }, "position": { - "x": 192, - "y": 40 + "x": 728, + "y": 152 } }, { - "id": "bf631fb2-9575-442d-ae82-71dd0be21888", + "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + }, + "target": { + "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "25e6ad7722c5f4707b002d30cf0f2111ba416525": { + "package": { + "name": "sum-2op-24bits", + "version": "0.1", + "description": "Sumador de dos operandos de 24bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 200, + "y": 136 + } + }, + { + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", "type": "basic.output", "data": { "name": "", @@ -4327,386 +4211,10412 @@ }, "position": { "x": 680, - "y": 152 + "y": 192 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", + "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 312, - "y": 176 + "x": 208, + "y": 232 } }, { - "id": "ca7c2d52-5293-4143-9758-468e17d643c2", - "type": "basic.outputLabel", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", "data": { - "name": "next", + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[23:0]", + "size": 24 + }, + { + "name": "b", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "s", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 24 + }, + { + "source": { + "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 24 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "750d007793329bb1f20002d08e38074d9bdd4f76": { + "package": { + "name": "24-Reg", + "version": "0.8", + "description": "24-Reg: 24 bits Register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "c39743c3-1b76-483c-8613-15f2782d0809", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 568, + "y": 144 + } + }, + { + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", + "type": "basic.output", + "data": { + "name": "", "range": "[23:0]", - "blockColor": "fuchsia", "size": 24 }, "position": { - "x": 280, - "y": 256 + "x": 1136, + "y": 240 } }, { - "id": "77d4f680-5d59-458b-8b56-69633057a69c", - "type": "basic.inputLabel", + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "type": "basic.input", "data": { - "name": "next", + "name": "", "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, + "clock": false, + "size": 24 + }, + "position": { + "x": 568, + "y": 240 + } + }, + { + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 568, + "y": 328 + } + }, + { + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 848, + "y": 24 + } + }, + { + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[23:0]", + "size": 24 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[23:0]", + "size": 24 + } + ] + }, + "params": [ { - "index": "21", - "name": "", - "value": "" - }, + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 24;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" + }, + "position": { + "x": 728, + "y": 128 + }, + "size": { + "width": 328, + "height": 280 + } + } + ], + "wires": [ + { + "source": { + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "port": "constant-out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "c39743c3-1b76-483c-8613-15f2782d0809", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" + }, + "vertices": [] + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" + } + }, + { + "source": { + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" + }, + "size": 24 + }, + { + "source": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" + }, + "target": { + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "4aa649ed9a98770b6b495b76e13c8991f2ada2f8": { + "package": { + "name": "Simplified-Heart-ms-32bits", + "version": "0.3", + "description": "Simplified-Heart-ms-sys-32bits: Nominal periodic signal in mili seconds", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22244.983%22%20height=%22223.683%22%20viewBox=%220%200%2064.818328%2059.182739%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M31.726%2058.436c-1.06-1.822-2.702-3.607-5.814-6.317-1.686-1.467-2.711-2.282-8.55-6.793-4.577-3.536-6.86-5.498-9.506-8.168-2.644-2.67-4.199-4.797-5.532-7.57-.852-1.77-1.437-3.476-1.801-5.249C.06%2022.087-.002%2021.325%200%2018.01c.003-4.352.147-5.076%201.575-7.979%201.062-2.155%201.869-3.29%203.548-4.996%201.631-1.655%202.69-2.407%204.98-3.54C12.645.237%2014.485-.093%2018.275.03c2.945.095%204.023.388%206.358%201.732%203.675%202.114%206.527%205.509%207.316%208.709.129.523.262.951.296.951.034%200%20.331-.612.66-1.36%201.123-2.543%202.166-4.095%203.822-5.69%205.07-4.89%2013.064-5.774%2019.528-2.162%202.64%201.475%204.787%203.623%206.451%206.452%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.307-1.136%201.725-1.977%202.77-3.554%204.416-2.545%202.658-4.84%204.612-10.257%208.732-3.418%202.6-5.444%204.271-8.377%206.914-2.35%202.117-5.99%205.802-6.341%206.419-.154.269-.292.489-.308.489-.017%200-.225-.336-.463-.747z%22%20fill=%22red%22/%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -72, + "y": -320 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 56, + "y": -320 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 992, + "y": -320 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": -320 + } + }, + { + "id": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 424, + "y": -176 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 728, + "y": -152 + } + }, + { + "id": "f8df038b-f5e0-46f5-9450-173b01f03457", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1008, + "y": -96 + } + }, + { + "id": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12_000_000", + "local": false + }, + "position": { + "x": -32, + "y": -160 + } + }, + { + "id": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 80, + "y": -160 + } + }, + { + "id": "1f13e77c-a957-4465-930f-e69ef9749b35", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 208, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "type": "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99", + "position": { + "x": 352, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "15a62858-6404-4eee-b2b1-063c51984061", + "type": "basic.info", + "data": { + "info": "Dividir entre dos el periodo \n(Multiplicar por 2 la frecuencia) ", + "readonly": true + }, + "position": { + "x": 264, + "y": 8 + }, + "size": { + "width": 368, + "height": 56 + } + }, + { + "id": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 56, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "type": "9cd840b50a80f25715b49324ba45c2e978fbc412", + "position": { + "x": 568, + "y": -128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "type": "b7f7136a87c607769a64233fd99bc12818a05746", + "position": { + "x": 864, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "port": "outlabel" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f8df038b-f5e0-46f5-9450-173b01f03457", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" + }, + "vertices": [] + }, + { + "source": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "02680a82-d9bd-41b7-8c08-990091e7d2f2" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "a95e724c-148d-4ea1-b6e5-5186c82520df" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "8b0a8a99-fd6c-41b8-826a-52f35e572df2" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "1f13e77c-a957-4465-930f-e69ef9749b35", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "79b18de5-9152-4bdb-8059-3dcf8efa92dc" + }, + "vertices": [] + }, + { + "source": { + "block": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "port": "constant-out" + }, + "target": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + }, + { + "source": { + "block": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "port": "constant-out" + }, + "target": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99": { + "package": { + "name": "SR1-32bits", + "version": "0.1", + "description": "SR1-32bits: Shift a 32-bit value one bit right. MSB is filled with the input in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22132.487%22%20viewBox=%220%200%20280.28048%20124.20677%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-228.395)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22none%22%20stroke-width=%221.65%22%20stroke=%22green%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M4.534%201.47l40.643-.064v50.43M274.981%20122.738l-63.82.063V72.37%22%20stroke-width=%222.813217%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "type": "basic.input", + "data": { + "name": "in", + "clock": false + }, + "position": { + "x": 216, + "y": 280 + } + }, + { + "id": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 808, + "y": 296 + } + }, + { + "id": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 224, + "y": 392 + } + }, + { + "id": "390a8028-5755-42e1-8173-5d973fb17d9b", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 808, + "y": 408 + } + }, + { + "id": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "type": "ecd30a800a660ad1e59f783099570f517b0d6cfe", + "position": { + "x": 416, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "type": "51b3c0c2868d4b8251891192d15f65348a99fe97", + "position": { + "x": 616, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "port": "out" + }, + "target": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "893af5e6-8636-4eb0-9db0-2b2cc10feffe" + }, + "target": { + "block": "390a8028-5755-42e1-8173-5d973fb17d9b", + "port": "in" + } + }, + { + "source": { + "block": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "port": "out" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae" + } + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "fcdf42fb-4c60-4831-a889-ae811cdabcbe" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "bc768a7d-c014-42a7-a27a-f607b633630e" + }, + "size": 31 + }, + { + "source": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "ecd30a800a660ad1e59f783099570f517b0d6cfe": { + "package": { + "name": "Bus32-Split-31-1", + "version": "0.1", + "description": "Bus32-Split-31-1: Split the 32-bits bus into two buses of 31 and 1 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "type": "basic.output", + "data": { + "name": "1", + "range": "[30:0]", + "size": 31 + }, + "position": { + "x": 592, + "y": 176 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[30:0]", + "size": 31 + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "port": "in" + }, + "size": 31 + } + ] + } + } + }, + "51b3c0c2868d4b8251891192d15f65348a99fe97": { + "package": { + "name": "Bus32-Join-1-31", + "version": "0.1", + "description": "Bus32-Join-1-31: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 112, + "y": 112 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "bc768a7d-c014-42a7-a27a-f607b633630e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[30:0]", + "clock": false, + "size": 31 + }, + "position": { + "x": 112, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1" + }, + { + "name": "i0", + "range": "[30:0]", + "size": 31 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 304, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "bc768a7d-c014-42a7-a27a-f607b633630e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 31 + }, + { + "source": { + "block": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + } + ] + } + } + }, + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { + "package": { + "name": "Unit-ms-32bits", + "version": "0.1", + "description": "Unit-ms-32bits: ms to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 952, + "y": 496 + } + }, + { + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12000000", + "local": false + }, + "position": { + "x": 520, + "y": 344 + } + }, + { + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 720, + "y": 344 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Input parameter: Milieconds ", + "readonly": true + }, + "position": { + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 + } + }, + { + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", + "data": { + "info": "Input parameter: \nSystem Frequency", + "readonly": true + }, + "position": { + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 + } + }, + { + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "MS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" + }, + "position": { + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] + }, + { + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" + }, + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" + } + } + ] + } + } + }, + "9cd840b50a80f25715b49324ba45c2e978fbc412": { + "package": { + "name": "Heart-sys-zero-32bits", + "version": "0.2", + "description": "Heart-sys-zero-32bits: Generate a periodic signal of period T cycles. It starts at cycle 0. It never stops", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22267.83%22%20height=%22235.568%22%20viewBox=%220%200%2070.863319%2062.327419%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-49.44%20-45.386)%22%3E%3Cpath%20d=%22M82.767%20103.823c-1.059-1.823-2.701-3.608-5.813-6.317-1.686-1.468-2.712-2.283-8.55-6.794-4.577-3.536-6.86-5.497-9.506-8.167-2.645-2.67-4.2-4.797-5.533-7.57-.851-1.77-1.436-3.477-1.8-5.25-.463-2.252-.526-3.014-.523-6.33.003-4.352.146-5.075%201.575-7.978%201.062-2.156%201.868-3.291%203.548-4.997%201.63-1.655%202.69-2.407%204.979-3.539%202.544-1.258%204.384-1.588%208.174-1.466%202.945.095%204.023.388%206.358%201.732%203.675%202.115%206.526%205.51%207.316%208.709.129.523.262.952.295.952.034%200%20.332-.612.662-1.36%201.122-2.544%202.164-4.095%203.82-5.692%205.07-4.889%2013.065-5.772%2019.528-2.16%202.64%201.475%204.788%203.622%206.452%206.451%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.308-1.137%201.725-1.978%202.77-3.555%204.416-2.544%202.658-4.839%204.611-10.256%208.731-3.418%202.6-5.445%204.271-8.377%206.914-2.35%202.117-5.991%205.803-6.342%206.419-.153.27-.292.49-.308.49-.016%200-.224-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%2028.715%2037.275)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.89397%200%200%20.89397%20106.937%20-105.28)%22%20stroke-width=%221.119%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.59%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.732%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20width=%2235.828%22%20height=%2219.351%22%20x=%2264.354%22%20y=%2261.538%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 96, + "y": -168 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 224, + "y": -168 + } + }, + { + "id": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1216, + "y": -168 + } + }, + { + "id": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 1074, + "y": -168 + } + }, + { + "id": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1216, + "y": -40 + } + }, + { + "id": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1072, + "y": -40 + } + }, + { + "id": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 832, + "y": -40 + } + }, + { + "id": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "type": "basic.input", + "data": { + "name": "T", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 96, + "y": -8 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 224, + "y": -8 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 0 + } + }, + { + "id": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 872, + "y": 24 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1216, + "y": 72 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1072, + "y": 72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": 80 + } + }, + { + "id": "89e11527-8c3d-4bbf-9694-1159de958b40", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 864, + "y": 112 + } + }, + { + "id": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": 224 + } + }, + { + "id": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "type": "basic.inputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 816, + "y": 288 + } + }, + { + "id": "815134a8-2814-476d-88c0-0d74da86efc4", + "type": "basic.outputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 272, + "y": 304 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Delay", + "readonly": true + }, + "position": { + "x": 712, + "y": 168 + }, + "size": { + "width": 72, + "height": 32 + } + }, + { + "id": "01772f99-50e3-45ae-95b0-8bca41f89605", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 408, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6455dc0-2aad-4d56-8a09-4ebcaf8db425", + "type": "basic.info", + "data": { + "info": "Start a new period", + "readonly": true + }, + "position": { + "x": 528, + "y": 232 + }, + "size": { + "width": 160, + "height": 32 + } + }, + { + "id": "8d4fd5c0-10c3-4dc8-b87e-e78b842e9ce0", + "type": "basic.info", + "data": { + "info": "Start at cycle 0!", + "readonly": true + }, + "position": { + "x": 272, + "y": 184 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38f7b59d-a55f-446c-bbf2-60dfc32db5b5", + "type": "basic.info", + "data": { + "info": "Repeat!", + "readonly": true + }, + "position": { + "x": 288, + "y": 368 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "f401416a-89c7-48c4-a759-3a2780237e11", + "type": "basic.info", + "data": { + "info": "Current period ended \nStart a new one", + "readonly": true + }, + "position": { + "x": 816, + "y": 240 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "88d96c30-493c-4914-b0a4-19ffd91abacd", + "type": "basic.info", + "data": { + "info": "Periodo (in system clock cycles)", + "readonly": true + }, + "position": { + "x": 240, + "y": -48 + }, + "size": { + "width": 264, + "height": 40 + } + }, + { + "id": "b628b46a-1f69-485c-82cf-e48cdffb2fbe", + "type": "basic.info", + "data": { + "info": "Current cycle in the period \n(0-T-1)", + "readonly": true + }, + "position": { + "x": 1120, + "y": -80 + }, + "size": { + "width": 232, + "height": 48 + } + }, + { + "id": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 680, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 272, + "y": 224 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "port": "inlabel" + }, + "vertices": [ + { + "x": 800, + "y": 152 + } + ] + }, + { + "source": { + "block": "815134a8-2814-476d-88c0-0d74da86efc4", + "port": "outlabel" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" + } + }, + { + "source": { + "block": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "port": "out", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "89e11527-8c3d-4bbf-9694-1159de958b40", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "port": "outlabel" + }, + "target": { + "block": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "size": 32 + }, + "target": { + "block": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "port": "outlabel" + }, + "target": { + "block": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "port": "in" + } + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "port": "inlabel" + } + }, + { + "source": { + "block": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "port": "outlabel" + }, + "target": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + } + }, + { + "source": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [] + }, + { + "source": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "e1fb385d657acff350e273a34472cf836d8701f2": { + "package": { + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 1104, + "y": 40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1264, + "y": 40 + } + }, + { + "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 112, + "y": 64 + } + }, + { + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 248, + "y": 64 + } + }, + { + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 80 + } + }, + { + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 144 + } + }, + { + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", + "data": { + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 152 + } + }, + { + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 240, + "y": 152 + } + }, + { + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 400, + "y": 208 + } + }, + { + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1264, + "y": 240 + } + }, + { + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 1112, + "y": 240 + } + }, + { + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": 248 + } + }, + { + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 288 + } + }, + { + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 568, + "y": 360 + } + }, + { + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1272, + "y": 376 + } + }, + { + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 1104, + "y": 376 + } + }, + { + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 912, + "y": 376 + } + }, + { + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 568, + "y": 424 + } + }, + { + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 912, + "y": 440 + } + }, + { + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 568, + "y": 496 + } + }, + { + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 112, + "y": 224 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true + }, + "position": { + "x": 112, + "y": 136 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true + }, + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" + }, + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" + } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 + } + ] + } + } + }, + "ee22aefdb40369d328e34626d38b009de330f96b": { + "package": { + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -24, + "y": -296 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 104, + "y": -296 + } + }, + { + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": -280 + } + }, + { + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1016, + "y": -280 + } + }, + { + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -24, + "y": -232 + } + }, + { + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -232 + } + }, + { + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1176, + "y": -208 + } + }, + { + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 1016, + "y": -208 + } + }, + { + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": -160 + } + }, + { + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", + "data": { + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -24, + "y": -160 + } + }, + { + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": -24, + "y": -96 + } + }, + { + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -96 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 16 + } + }, + { + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 304, + "y": 40 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 32, + "y": 136 + } + }, + { + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 32, + "y": 192 + } + }, + { + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": -32, + "y": 304 + } + }, + { + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 488 + } + }, + { + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 632, + "y": 8 + } + }, + { + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 424, + "y": 368 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "32-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 256 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, + "position": { + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, + "position": { + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", + "position": { + "x": 120, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", + "position": { + "x": 296, + "y": 424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" + }, + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } + }, + { + "source": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" + } + }, + { + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" + }, + "target": { + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 + }, + "target": { + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "port": "outlabel" + }, + "target": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 + }, + "target": { + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + } + }, + { + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 592, + "y": 288 + } + ], + "size": 32 + }, + { + "source": { + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 + } + ] + } + } + }, + "0906c20b5d8675f4f9b5706640957da9573692b5": { + "package": { + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 616, + "y": -88 + } + }, + { + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 232, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 144, + "y": -472 + } + }, + { + "id": "64015db2-163d-4858-966f-2f7282b187c9", + "type": "basic.outputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 784, + "y": -440 + } + }, + { + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" + }, + "target": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" + }, + "target": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { + "package": { + "name": "AdderC-4bits", + "version": "0.1", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ { - "index": "20", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ { - "index": "19", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -296, + "y": -168 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ { - "index": "18", + "index": "3", "name": "", "value": "" }, { - "index": "17", + "index": "2", "name": "", "value": "" }, { - "index": "16", + "index": "1", "name": "", "value": "" }, { - "index": "15", + "index": "0", "name": "", "value": "" - }, + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -648 + } + }, + { + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -408, + "y": -584 + } + }, + { + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ { - "index": "14", + "index": "3", "name": "", "value": "" }, { - "index": "13", + "index": "2", "name": "", "value": "" }, { - "index": "12", + "index": "1", "name": "", "value": "" }, { - "index": "11", + "index": "0", "name": "", "value": "" - }, + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 832, + "y": -480 + } + }, + { + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 248, + "y": -456 + } + }, + { + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ { - "index": "10", + "index": "3", "name": "", "value": "" }, { - "index": "9", + "index": "2", "name": "", "value": "" }, { - "index": "8", + "index": "1", "name": "", "value": "" }, { - "index": "7", + "index": "0", "name": "", "value": "" - }, + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -448 + } + }, + { + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -400, + "y": -392 + } + }, + { + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ { - "index": "6", + "index": "3", "name": "", "value": "" }, { - "index": "5", + "index": "2", "name": "", "value": "" }, { - "index": "4", + "index": "1", "name": "", "value": "" }, { - "index": "3", + "index": "0", "name": "", "value": "" - }, + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, + { + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 248, + "y": -376 + } + }, + { + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 840, + "y": -336 + } + }, + { + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 120, + "y": -304 + } + }, + { + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 120, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -392, + "y": -168 + } + }, + { + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -240, + "y": -584 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 + }, + { + "source": { + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" + }, + "target": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" + }, + "target": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 632, + "y": 200 + } + }, + { + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 592, + "y": 152 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 600, + "y": 128 + } + }, + { + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 96, + "y": 200 + } + }, + { + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 592, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 168 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "4ca7b72f-724d-435c-8490-645c338586db", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "306ca367fbbc5181b3c709d73f447e0710871a1d": { + "package": { + "name": "Bus16-Split-half", + "version": "0.1", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "type": "basic.output", + "data": { + "name": "1", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 176 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 208 + } + }, + { + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { + "package": { + "name": "Bus32-Join-8-24", + "version": "0.1", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", + "data": { + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 120, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 + } + ] + } + } + }, + "a63735be57457fe4a3aad098b06ba4a251615267": { + "package": { + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "type": "basic.input", + "data": { + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -96, + "y": 184 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 392, + "y": 224 + } + }, + { + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "type": "basic.input", + "data": { + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -96, + "y": 256 + } + }, + { + "id": "c9b95091-7c99-448b-9934-18e096717eeb", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "a", + "range": "[31:0]", + "size": 32 + }, + { + "name": "b", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "ge" + } + ] + }, + "params": [], + "code": "assign ge = (a >= b);" + }, + "position": { + "x": 88, + "y": 184 + }, + "size": { + "width": 240, + "height": 136 + } + } + ], + "wires": [ + { + "source": { + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" + }, + "size": 32 + }, + { + "source": { + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 + }, + { + "source": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { + "package": { + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 560, + "y": 64 + } + }, + { + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 72 + } + }, + { + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 144 + } + }, + { + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 560, + "y": 168 + } + }, + { + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": 224 + } + }, + { + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 560, + "y": 272 + } + }, + { + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 560, + "y": 376 + } + }, + { + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 376 + } + }, + { + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 864, + "y": -80 + } + }, + { + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ { - "index": "2", - "name": "", - "value": "" - }, + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 720, + "y": 40 + }, + "size": { + "width": 376, + "height": 424 + } + } + ], + "wires": [ + { + "source": { + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" + }, + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" + } + }, + { + "source": { + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" + }, + "size": 32 + }, + { + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" + } + } + ] + } + } + }, + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { + "package": { + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 240, + "y": 0 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 832, + "y": 120 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "set", + "clock": false + }, + "position": { + "x": 232, + "y": 120 + } + }, + { + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 232, + "y": 240 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 536, + "y": -144 + } + }, + { + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "set" + }, + { + "name": "reset" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ { - "index": "1", - "name": "", - "value": "" - }, + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 400, + "y": -32 + }, + "size": { + "width": 360, + "height": 360 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" + } + }, + { + "source": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "12181aafa567423f27b91da184acb1c9a94045c5": { + "package": { + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 296, + "y": -48 + } + }, + { + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 448, + "y": -48 + } + }, + { + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 344, + "y": 120 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 656, + "y": 216 + } + }, + { + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 488, + "y": 104 + } + }, + { + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 304, + "y": -80 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 216, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", + "type": "basic.info", + "data": { + "info": "Initial value: 1", + "readonly": true + }, + "position": { + "x": 504, + "y": 280 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", + "type": "basic.info", + "data": { + "info": "Initial value: 0", + "readonly": true + }, + "position": { + "x": 216, + "y": 288 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", + "type": "basic.info", + "data": { + "info": "Falling edge", + "readonly": true + }, + "position": { + "x": 368, + "y": 264 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 488, + "y": 200 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "port": "out" + }, + "target": { + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 456, + "y": 184 + } + ] + }, + { + "source": { + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" + }, + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + }, + { + "source": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "b7f7136a87c607769a64233fd99bc12818a05746": { + "package": { + "name": "TFF-verilog", + "version": "0.4", + "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 328, + "y": -80 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 904, + "y": -8 + } + }, + { + "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 320, + "y": 64 + } + }, + { + "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 624, + "y": -248 + } + }, + { + "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "t" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ { - "index": "0", - "name": "", - "value": "" + "name": "INI" } ], - "virtual": true, - "blockColor": "fuchsia" + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 496, + "y": -120 + }, + "size": { + "width": 352, + "height": 288 + } + } + ], + "wires": [ + { + "source": { + "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "port": "constant-out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "INI" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "clk" + } + }, + { + "source": { + "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", + "port": "out" + }, + "target": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "t" + } + }, + { + "source": { + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "9bcc065f9661d93d2037a3bccf05b884964e1f4b": { + "package": { + "name": "PDM-1bit-DAC", + "version": "0.3", + "description": "Pulse-density modulated 1-bit DAC", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588403710364 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ef451927-f90b-424b-ad34-6ac114143513", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 56, + "y": 1072 + } + }, + { + "id": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 200, + "y": 1072 + } + }, + { + "id": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 824, - "y": 272 + "x": 976, + "y": 1176 } }, { - "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "type": "basic.input", + "id": "309765f3-0e44-49b6-98a7-c4490b748153", + "type": "basic.outputLabel", "data": { - "name": "inc", - "range": "[15:0]", - "clock": false, - "size": 16 + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 48, - "y": 336 + "x": 192, + "y": 1216 } }, { - "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "type": "basic.input", + "id": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "type": "basic.output", "data": { - "name": "add", - "clock": false + "name": "out" }, "position": { - "x": 48, - "y": 416 + "x": 1256, + "y": 1280 } }, { - "id": "a65b6302-05d5-4df6-b308-44ec4b939901", - "type": "380890f86e3a886844470fb5c8431265046f197c", - "position": { - "x": 648, - "y": 272 + "id": "626fe170-4ece-4558-9a88-104a4ad5e559", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 200, + "y": 1328 } }, { - "id": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "type": "c71699e00fcda7699d0368eb0de247bbddbcd395", - "position": { - "x": 448, - "y": 240 + "id": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "size": 12 }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 408, + "y": 1376 } - } - ], - "wires": [ + }, { - "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "port": "out" - }, - "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" + "id": "d8912692-c881-4f65-afd4-ef323a15a78d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 }, - "vertices": [] + "position": { + "x": 888, + "y": 1392 + } }, { - "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" + "id": "56c80258-8515-47c4-98b9-af247b8fa77b", + "type": "basic.input", + "data": { + "name": "din", + "range": "[11:0]", + "clock": false, + "size": 12 }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "position": { + "x": 64, + "y": 1528 } }, { - "source": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "size": 24 - }, - "target": { - "block": "77d4f680-5d59-458b-8b56-69633057a69c", - "port": "inlabel" + "id": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "type": "basic.inputLabel", + "data": { + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "blockColor": "navy", + "size": 12 }, - "size": 24 + "position": { + "x": 224, + "y": 1528 + } }, { - "source": { - "block": "ca7c2d52-5293-4143-9758-468e17d643c2", - "port": "outlabel" - }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "size": 24 + "id": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "position": { + "x": 552, + "y": 1296 }, - "size": 24 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" - }, - "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + "id": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "type": "117c154c9710e7538dc4149a2241691940cc4a09", + "position": { + "x": 752, + "y": 1296 }, - "size": 24 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "id": "3f812810-f7f6-44f4-b61d-0771051d1786", + "type": "basic.info", + "data": { + "info": "Accumulator", + "readonly": true }, - "target": { - "block": "bf631fb2-9575-442d-ae82-71dd0be21888", - "port": "in" + "position": { + "x": 368, + "y": 1224 }, - "size": 24 + "size": { + "width": 120, + "height": 40 + } }, { - "source": { - "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "port": "out" + "id": "28dbb1a3-bb5f-451d-8c33-a1384957e4fb", + "type": "basic.info", + "data": { + "info": "Add the incoming sample \nto the accumulator", + "readonly": true }, - "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "position": { + "x": 528, + "y": 1224 + }, + "size": { + "width": 200, + "height": 56 } }, { - "source": { - "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "port": "out" + "id": "8136d2e2-29e8-49a3-90c9-eab2965af74e", + "type": "basic.info", + "data": { + "info": "Incoming sample", + "readonly": true }, - "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "a8a28134-418c-49be-9550-f546432bebc9" + "position": { + "x": 112, + "y": 1496 }, - "size": 16 - } - ] - } - } - }, - "380890f86e3a886844470fb5c8431265046f197c": { - "package": { - "name": "sum-24-16->24bits", - "version": "0.1", - "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 152, + "height": 40 + } + }, { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", - "type": "basic.input", + "id": "1276c60c-b629-443b-9d74-635583ec44f0", + "type": "basic.info", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "info": "Accumulator overflow \ncarry set (MSB)", + "readonly": true }, "position": { - "x": 336, - "y": 136 + "x": 760, + "y": 1224 + }, + "size": { + "width": 184, + "height": 56 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "type": "basic.output", + "id": "344e3fb1-c7dd-4ec8-92f4-c930614ff803", + "type": "basic.info", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "info": "Pulse-density modulated \noutput signal", + "readonly": true }, "position": { - "x": 680, - "y": 192 + "x": 1248, + "y": 1216 + }, + "size": { + "width": 200, + "height": 56 } }, { - "id": "a8a28134-418c-49be-9550-f546432bebc9", - "type": "basic.input", + "id": "ad75e91f-5736-4b0a-9305-0228207b976a", + "type": "basic.info", "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 + "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "readonly": true }, "position": { - "x": 48, - "y": 272 + "x": 384, + "y": 752 + }, + "size": { + "width": 760, + "height": 272 } }, { - "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "type": "0b81b8e1e01d92b35ab459001f261115129544e8", + "id": "84f30124-bb0d-465a-91f3-789d855aaba3", + "type": "basic.info", + "data": { + "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "readonly": true + }, "position": { - "x": 320, - "y": 256 + "x": 1336, + "y": 760 }, - "size": { - "width": 96, - "height": 64 + "size": { + "width": 496, + "height": 184 } }, { - "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", + "id": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 144, - "y": 208 + "x": 1112, + "y": 1264 }, "size": { "width": 96, @@ -4714,11 +14624,11 @@ } }, { - "id": "899734d5-1063-4268-9135-20b5aff4c0fb", - "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", + "id": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "type": "4a3e5082790168ab13226b6a032ed6e45e656420", "position": { - "x": 504, - "y": 192 + "x": 352, + "y": 1280 }, "size": { "width": 96, @@ -4729,400 +14639,722 @@ "wires": [ { "source": { - "block": "a8a28134-418c-49be-9550-f546432bebc9", + "block": "ef451927-f90b-424b-ad34-6ac114143513", "port": "out" }, "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" + "block": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "port": "inlabel" }, - "size": 16 + "vertices": [] }, { "source": { - "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" + "block": "56c80258-8515-47c4-98b9-af247b8fa77b", + "port": "out", + "size": 12 }, "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" + "block": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "port": "inlabel" }, - "size": 8 + "vertices": [], + "size": 12 }, { "source": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" + "block": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "port": "outlabel" }, "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "d3293383-456c-471c-974d-342cc97e652b", + "size": 12 + }, + "target": { + "block": "d8912692-c881-4f65-afd4-ef323a15a78d", + "port": "inlabel" + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "port": "outlabel" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [] + }, + { + "source": { + "block": "309765f3-0e44-49b6-98a7-c4490b748153", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + }, + "vertices": [] + }, + { + "source": { + "block": "626fe170-4ece-4558-9a88-104a4ad5e559", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + }, + "target": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + }, + "vertices": [], + "size": 13 + }, + { + "source": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", "port": "in" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", - "port": "out" + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" }, "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" }, - "size": 24 + "vertices": [] }, { "source": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" }, "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" }, - "size": 24 + "vertices": [], + "size": 12 } ] } } }, - "0b81b8e1e01d92b35ab459001f261115129544e8": { + "29c9a45c6996a3804d02693a6f8a8daf6a157256": { "package": { - "name": "Agregador-8-16-bus", + "name": "sum-2op-12bits", "version": "0.1", - "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "description": "Sumador de dos operandos de 12 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", "type": "basic.input", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, "position": { - "x": 112, - "y": 144 + "x": 224, + "y": 176 } }, { - "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", "type": "basic.output", "data": { - "name": "o", - "range": "[23:0]", - "size": 24 + "name": "", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false }, "position": { - "x": 608, - "y": 200 + "x": 672, + "y": 192 } }, { - "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", + "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", "type": "basic.input", "data": { - "name": "i0", - "range": "[15:0]", - "clock": false, - "size": 16 + "name": "", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, "position": { - "x": 128, - "y": 288 + "x": 224, + "y": 248 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "assign o = {i1, i0};\n", + "code": "assign s = a + b;", "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[7:0]", - "size": 8 + "name": "a", + "range": "[11:0]", + "size": 12 }, { - "name": "i0", - "range": "[15:0]", - "size": 16 + "name": "b", + "range": "[11:0]", + "size": 12 } ], "out": [ { - "name": "o", - "range": "[23:0]", - "size": 24 + "name": "s", + "range": "[12:0]", + "size": 13 } ] } }, "position": { - "x": 296, - "y": 176 + "x": 384, + "y": 192 }, "size": { - "width": 224, - "height": 112 + "width": 216, + "height": 64 } } ], "wires": [ { "source": { - "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "size": 8 + "size": 12 }, { "source": { - "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", + "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 16 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", - "port": "in" - }, - "size": 24 - } - ] - } - } - }, - "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { - "package": { - "name": "Valor_0_8bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 8 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", - "type": "basic.output", - "data": { - "name": "k", - "range": "[7:0]", - "size": 8 - }, - "position": { - "x": 928, - "y": 256 - } - }, - { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": true - }, - "position": { - "x": 728, - "y": 152 - } - }, - { - "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", - "position": { - "x": 728, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "port": "constant-out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" }, - "target": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" - } + "size": 12 }, { "source": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", "port": "in" }, - "size": 8 + "size": 13 } ] } } }, - "25e6ad7722c5f4707b002d30cf0f2111ba416525": { + "117c154c9710e7538dc4149a2241691940cc4a09": { "package": { - "name": "sum-2op-24bits", + "name": "split-1-12-bus", "version": "0.1", - "description": "Sumador de dos operandos de 24bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", - "type": "basic.input", + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "type": "basic.output", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "name": "o1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false }, - "position": { - "x": 200, - "y": 136 + "position": { + "x": 584, + "y": 144 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "type": "basic.output", + "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "type": "basic.input", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "name": "i", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, "position": { - "x": 680, - "y": 192 + "x": 144, + "y": 200 } }, { - "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", - "type": "basic.input", + "id": "d3293383-456c-471c-974d-342cc97e652b", + "type": "basic.output", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "name": "o0", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false }, "position": { - "x": 208, - "y": 232 + "x": 576, + "y": 240 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign s = a + b;", + "code": "assign o1 = i[12];\nassign o0 = i[11:0];", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[23:0]", - "size": 24 - }, - { - "name": "b", - "range": "[23:0]", - "size": 24 + "name": "i", + "range": "[12:0]", + "size": 13 } ], "out": [ { - "name": "s", - "range": "[23:0]", - "size": 24 + "name": "o1" + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 296, + "y": 176 }, "size": { - "width": 216, - "height": 64 + "width": 208, + "height": 112 } } ], "wires": [ { "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 24 + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" + } }, { "source": { - "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "block": "ecf75200-3425-42bf-b093-a4b731cadab6", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 24 + "size": 13 }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "block": "d3293383-456c-471c-974d-342cc97e652b", "port": "in" }, - "size": 24 + "size": 12 } ] } } }, - "c71699e00fcda7699d0368eb0de247bbddbcd395": { + "4a3e5082790168ab13226b6a032ed6e45e656420": { "package": { - "name": "Registro-24", - "version": "0.1-c1588350420371", - "description": "Registro de 24 bits", + "name": "Registro-12bits-system", + "version": "0.2", + "description": "Registro de 12 bits", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" }, @@ -5137,17 +15369,17 @@ "clock": true }, "position": { - "x": 80, - "y": 176 + "x": 120, + "y": 192 } }, { - "id": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", + "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", "type": "basic.output", "data": { "name": "", - "range": "[23:0]", - "size": 24 + "range": "[11:0]", + "size": 12 }, "position": { "x": 632, @@ -5155,29 +15387,17 @@ } }, { - "id": "3f7decb3-4770-4665-84bd-ab3cd838189f", + "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", "type": "basic.input", "data": { "name": "", - "range": "[23:0]", + "range": "[11:0]", "clock": false, - "size": 24 - }, - "position": { - "x": 80, - "y": 248 - } - }, - { - "id": "065ea371-8398-43b3-8341-287c234a3acb", - "type": "basic.input", - "data": { - "name": "load", - "clock": false + "size": 12 }, "position": { - "x": 80, - "y": 312 + "x": 120, + "y": 296 } }, { @@ -5197,7 +15417,7 @@ "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", "type": "basic.code", "data": { - "code": "localparam N = 24;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", + "code": "localparam N = 12;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", "params": [ { "name": "INI" @@ -5210,18 +15430,15 @@ }, { "name": "d", - "range": "[23:0]", - "size": 24 - }, - { - "name": "load" + "range": "[11:0]", + "size": 12 } ], "out": [ { "name": "q", - "range": "[23:0]", - "size": 24 + "range": "[11:0]", + "size": 12 } ] } @@ -5248,16 +15465,6 @@ }, "vertices": [] }, - { - "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" - } - }, { "source": { "block": "096f61b6-6d5c-4907-9512-e65b25969458", @@ -5274,27 +15481,27 @@ "port": "q" }, "target": { - "block": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", + "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", "port": "in" }, - "size": 24 + "size": 12 }, { "source": { - "block": "3f7decb3-4770-4665-84bd-ab3cd838189f", + "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", "port": "out" }, "target": { "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", "port": "d" }, - "size": 24 + "size": 12 } ] } } }, - "be3c9cd53a16ff19140224bb4472883b9eebb8fc": { + "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223": { "package": { "name": "tone_generator_pulse", "version": "0.1", @@ -5312,50 +15519,8 @@ "data": { "name": "amp", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "clock": false, + "size": 8 }, "position": { "x": 136, @@ -5368,69 +15533,7 @@ "data": { "name": "sample", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "size": 12 }, "position": { "x": 944, @@ -5442,131 +15545,9 @@ "type": "basic.input", "data": { "name": "phase", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { "x": 144, @@ -5840,2144 +15821,1523 @@ "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", "position": { - "x": 664, - "y": 144 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "2f5c5027-6968-425d-848f-44e20329a15c", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 320 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 480 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 552 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "756c0631-762c-418b-879d-eb4bb02aa428", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 624 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 696 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a970977d-5030-4145-ae62-6ef064aee10e", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 768 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 408 - }, - "size": { - "width": 96, - "height": 64 - } - } - ], - "wires": [ - { - "source": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "ee811595-5804-4013-af30-15cd89b5bed2" - }, - "target": { - "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "port": "in" - }, - "size": 12 - }, - { - "source": { - "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", - "port": "out" - }, - "target": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" - }, - "size": 12 - }, - { - "source": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" - } - }, - { - "source": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" - }, - "vertices": [ - { - "x": 848, - "y": 120 - } - ] - }, - { - "source": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" - }, - "vertices": [ - { - "x": 832, - "y": 192 - } - ] - }, - { - "source": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" - }, - "vertices": [ - { - "x": 816, - "y": 256 - } - ] - }, - { - "source": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" - }, - "vertices": [ - { - "x": 800, - "y": 280 - } - ] - }, - { - "source": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" - } - }, - { - "source": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" - } - }, - { - "source": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" - }, - "vertices": [ - { - "x": 864, - "y": 712 - } - ] - }, - { - "source": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "42733799-2dd4-429b-bd43-a478067a10a6" - }, - "vertices": [ - { - "x": 848, - "y": 640 - } - ] + "x": 664, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 216 }, - "vertices": [ - { - "x": 832, - "y": 552 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + "id": "2f5c5027-6968-425d-848f-44e20329a15c", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 320 }, - "vertices": [ - { - "x": 824, - "y": 480 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 480 }, - "vertices": [ - { - "x": 808, - "y": 400 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" - }, - "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 552 }, - "vertices": [ - { - "x": 392, - "y": -32 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" - }, - "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "756c0631-762c-418b-879d-eb4bb02aa428", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 624 }, - "vertices": [ - { - "x": 408, - "y": 88 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" - }, - "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 696 }, - "vertices": [ - { - "x": 424, - "y": 152 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" - }, - "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "a970977d-5030-4145-ae62-6ef064aee10e", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 768 }, - "vertices": [ - { - "x": 440, - "y": 216 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" - }, - "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 408 }, - "vertices": [ - { - "x": 456, - "y": 280 - } - ] - }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "ee811595-5804-4013-af30-15cd89b5bed2" }, "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - } + "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "port": "in" + }, + "size": 12 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "port": "out" }, "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" }, - "vertices": [ - { - "x": 456, - "y": 400 - } - ] + "size": 12 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [ - { - "x": 440, - "y": 464 - } - ] + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" + } }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" }, "vertices": [ { - "x": 424, - "y": 496 + "x": 848, + "y": 120 } ] }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" }, "vertices": [ { - "x": 408, - "y": 576 + "x": 832, + "y": 192 } ] }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" }, "vertices": [ { - "x": 392, - "y": 640 + "x": 816, + "y": 256 } ] }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" }, "vertices": [ { - "x": 368, - "y": 720 + "x": 800, + "y": 280 } ] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" } }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" }, - "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "vertices": [ + { + "x": 864, + "y": 712 + } + ] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "42733799-2dd4-429b-bd43-a478067a10a6" }, - "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "vertices": [ + { + "x": 848, + "y": 640 + } + ] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" }, - "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "vertices": [ + { + "x": 832, + "y": 552 + } + ] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - }, - { - "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" }, - "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "vertices": [ + { + "x": 824, + "y": 480 + } + ] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + }, + "vertices": [ + { + "x": 808, + "y": 400 + } + ] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" }, "target": { "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - } - ] - } - } - }, - "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { - "package": { - "name": "join-bus-11-bits", - "version": "0.1", - "description": "Join 11 wires into a 11-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", - "type": "basic.input", - "data": { - "name": "i11", - "clock": false - }, - "position": { - "x": 80, - "y": 160 - } - }, - { - "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", - "type": "basic.input", - "data": { - "name": "i10", - "clock": false - }, - "position": { - "x": -40, - "y": 200 - } - }, - { - "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "type": "basic.input", - "data": { - "name": "i9", - "clock": false - }, - "position": { - "x": 80, - "y": 240 - } - }, - { - "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", - "type": "basic.input", - "data": { - "name": "i8", - "clock": false + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "position": { - "x": -40, - "y": 280 - } + "vertices": [ + { + "x": 392, + "y": -32 + } + ] }, { - "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "type": "basic.input", - "data": { - "name": "i7", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" }, - "position": { - "x": 80, - "y": 320 - } - }, - { - "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "type": "basic.input", - "data": { - "name": "i6", - "clock": false + "target": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "position": { - "x": -40, - "y": 360 - } + "vertices": [ + { + "x": 408, + "y": 88 + } + ] }, { - "id": "ee811595-5804-4013-af30-15cd89b5bed2", - "type": "basic.output", - "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" }, - "position": { - "x": 1032, - "y": 384 - } + "target": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 152 + } + ] }, { - "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "type": "basic.input", - "data": { - "name": "i5", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" }, - "position": { - "x": 80, - "y": 400 - } + "target": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 216 + } + ] }, { - "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "type": "basic.input", - "data": { - "name": "i4", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" }, - "position": { - "x": -32, - "y": 440 - } + "target": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 280 + } + ] }, { - "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "type": "basic.input", - "data": { - "name": "i3", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" }, - "position": { - "x": 88, - "y": 480 + "target": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { - "id": "42733799-2dd4-429b-bd43-a478067a10a6", - "type": "basic.input", - "data": { - "name": "i2", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" }, - "position": { - "x": -40, - "y": 520 - } + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 400 + } + ] }, { - "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "type": "basic.input", - "data": { - "name": "i1", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "f4978486-1baf-45b6-962d-f7c4f5021560" }, - "position": { - "x": 80, - "y": 560 - } + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 464 + } + ] }, { - "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "type": "basic.input", - "data": { - "name": "i0", - "clock": false + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" }, - "position": { - "x": -24, - "y": 600 - } + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 496 + } + ] }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i11" - }, - { - "name": "i10" - }, - { - "name": "i9" - }, - { - "name": "i8" - }, - { - "name": "i7" - }, - { - "name": "i6" - }, - { - "name": "i5" - }, - { - "name": "i4" - }, - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[11:0]", - "size": 12 - } - ] + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + }, + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 576 } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" }, - "position": { - "x": 296, - "y": 176 + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": { - "width": 656, - "height": 472 - } - } - ], - "wires": [ + "vertices": [ + { + "x": 392, + "y": 640 + } + ] + }, { "source": { - "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "port": "out" + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i7" - } + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 368, + "y": 720 + } + ] }, { "source": { - "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i6" + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i5" + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i4" + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" }, "target": { - "block": "ee811595-5804-4013-af30-15cd89b5bed2", - "port": "in" - }, - "size": 12 + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i8" + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i9" + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i10" + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i11" + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } } ] } } }, - "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { + "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { "package": { - "name": "Separador-bus", + "name": "join-bus-11-bits", "version": "0.1", - "description": "Separador de bus de 12-bits en 12 cables", + "description": "Join 11 wires into a 11-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", - "type": "basic.output", + "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "type": "basic.input", "data": { - "name": "o11" + "name": "i11", + "clock": false }, "position": { - "x": 552, - "y": -176 + "x": 80, + "y": 160 } }, { - "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "type": "basic.output", + "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "type": "basic.input", "data": { - "name": "o10" + "name": "i10", + "clock": false }, "position": { - "x": 584, - "y": -120 + "x": -40, + "y": 200 } }, { - "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", - "type": "basic.output", + "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "type": "basic.input", "data": { - "name": "o9" + "name": "i9", + "clock": false }, "position": { - "x": 616, - "y": -64 + "x": 80, + "y": 240 } }, { - "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "type": "basic.output", + "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "type": "basic.input", "data": { - "name": "o8" + "name": "i8", + "clock": false }, "position": { - "x": 656, - "y": -8 + "x": -40, + "y": 280 } }, { - "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "type": "basic.output", + "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "type": "basic.input", "data": { - "name": "o7" + "name": "i7", + "clock": false }, "position": { - "x": 712, - "y": 48 + "x": 80, + "y": 320 } }, { - "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "type": "basic.output", + "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "type": "basic.input", "data": { - "name": "o6" + "name": "i6", + "clock": false }, "position": { - "x": 728, - "y": 104 + "x": -40, + "y": 360 } }, { - "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "id": "ee811595-5804-4013-af30-15cd89b5bed2", "type": "basic.output", "data": { - "name": "o5" + "name": "o", + "range": "[11:0]", + "size": 12 }, "position": { - "x": 752, - "y": 160 + "x": 1032, + "y": 384 } }, { - "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", "type": "basic.input", "data": { - "name": "i", - "range": "[11:0]", - "clock": false, - "size": 12 + "name": "i5", + "clock": false }, "position": { - "x": 16, - "y": 192 + "x": 80, + "y": 400 } }, { - "id": "f4978486-1baf-45b6-962d-f7c4f5021560", - "type": "basic.output", + "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "type": "basic.input", "data": { - "name": "o4" + "name": "i4", + "clock": false }, "position": { - "x": 784, - "y": 216 + "x": -32, + "y": 440 } }, { - "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "type": "basic.output", + "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "type": "basic.input", "data": { - "name": "o3" + "name": "i3", + "clock": false }, "position": { - "x": 768, - "y": 272 + "x": 88, + "y": 480 } }, { - "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "type": "basic.output", + "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "type": "basic.input", "data": { - "name": "o2" + "name": "i2", + "clock": false }, "position": { - "x": 728, - "y": 328 + "x": -40, + "y": 520 } }, { - "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "type": "basic.output", + "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "type": "basic.input", "data": { - "name": "o1" + "name": "i1", + "clock": false }, "position": { - "x": 600, - "y": 352 + "x": 80, + "y": 560 } }, { - "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "type": "basic.output", + "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "type": "basic.input", "data": { - "name": "o0" + "name": "i0", + "clock": false }, "position": { - "x": 536, - "y": 416 + "x": -24, + "y": 600 } }, { "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "i", - "range": "[11:0]", - "size": 12 - } - ], - "out": [ - { - "name": "o11" + "name": "i11" }, { - "name": "o10" + "name": "i10" }, { - "name": "o9" + "name": "i9" }, { - "name": "o8" + "name": "i8" }, { - "name": "o7" + "name": "i7" }, { - "name": "o6" + "name": "i6" }, { - "name": "o5" + "name": "i5" }, { - "name": "o4" + "name": "i4" }, { - "name": "o3" + "name": "i3" }, { - "name": "o2" + "name": "i2" }, { - "name": "o1" + "name": "i1" }, { - "name": "o0" + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 } ] } }, "position": { - "x": 192, - "y": 80 + "x": 296, + "y": 176 }, "size": { - "width": 256, - "height": 280 + "width": 656, + "height": 472 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o7" + "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "port": "out" }, "target": { - "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i7" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o6" + "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "port": "out" }, "target": { - "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i6" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o5" + "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "port": "out" }, "target": { - "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i5" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o4" + "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "port": "out" }, "target": { - "block": "f4978486-1baf-45b6-962d-f7c4f5021560", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" + "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "port": "out" }, "target": { - "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "port": "out" }, "target": { - "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "port": "out" }, "target": { - "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "port": "out" }, "target": { - "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" } }, { "source": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o8" + "port": "o" }, "target": { - "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "block": "ee811595-5804-4013-af30-15cd89b5bed2", "port": "in" - } + }, + "size": 12 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o9" + "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "port": "out" }, "target": { - "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i8" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o10" + "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "port": "out" }, "target": { - "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i9" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o11" + "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "port": "out" }, "target": { - "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i10" } }, { "source": { - "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 12 + "port": "i11" + } } ] } } }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { "package": { - "name": "AND", - "version": "1.0.1", - "description": "Puerta AND", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Separador-bus", + "version": "0.1", + "description": "Separador de bus de 12-bits en 12 cables", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "type": "basic.input", + "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "type": "basic.output", "data": { - "name": "" + "name": "o11" }, "position": { - "x": 64, - "y": 88 + "x": 552, + "y": -176 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", "type": "basic.output", "data": { - "name": "" + "name": "o10" }, "position": { - "x": 784, - "y": 152 + "x": 584, + "y": -120 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", + "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "type": "basic.output", "data": { - "name": "" + "name": "o9" }, "position": { - "x": 64, - "y": 224 + "x": 616, + "y": -64 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", + "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "type": "basic.output", "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "name": "o8" }, "position": { - "x": 256, + "x": 656, + "y": -8 + } + }, + { + "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "type": "basic.output", + "data": { + "name": "o7" + }, + "position": { + "x": 712, "y": 48 + } + }, + { + "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "type": "basic.output", + "data": { + "name": "o6" }, - "size": { - "width": 464, - "height": 272 + "position": { + "x": 728, + "y": 104 } - } - ], - "wires": [ + }, { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "type": "basic.output", + "data": { + "name": "o5" }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "position": { + "x": 752, + "y": 160 } }, { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "type": "basic.input", + "data": { + "name": "i", + "range": "[11:0]", + "clock": false, + "size": 12 }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "position": { + "x": 16, + "y": 192 } }, { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "id": "f4978486-1baf-45b6-962d-f7c4f5021560", + "type": "basic.output", + "data": { + "name": "o4" + }, + "position": { + "x": 784, + "y": 216 + } + }, + { + "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "type": "basic.output", + "data": { + "name": "o3" }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" + "position": { + "x": 768, + "y": 272 } - } - ] - } - } - }, - "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { - "package": { - "name": "Join-8-4", - "version": "0.0.1", - "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "906137ba-f840-4759-9556-b6a0ec101654", - "type": "basic.input", + "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "type": "basic.output", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "o2" }, "position": { - "x": 136, - "y": 240 + "x": 728, + "y": 328 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", "type": "basic.output", "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "o1" }, "position": { - "x": 704, - "y": 288 + "x": 600, + "y": 352 } }, { - "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", - "type": "basic.input", + "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "type": "basic.output", "data": { - "name": "i0", - "range": "[3:0]", - "clock": false, - "size": 4 + "name": "o0" }, "position": { - "x": 136, - "y": 336 + "x": 536, + "y": 416 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = {i1,i0};\n\n", + "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 + "name": "i", + "range": "[11:0]", + "size": 12 } ], "out": [ { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "o11" + }, + { + "name": "o10" + }, + { + "name": "o9" + }, + { + "name": "o8" + }, + { + "name": "o7" + }, + { + "name": "o6" + }, + { + "name": "o5" + }, + { + "name": "o4" + }, + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" } ] } }, "position": { - "x": 328, - "y": 224 + "x": 192, + "y": 80 }, "size": { - "width": 288, - "height": 192 + "width": 256, + "height": 280 } } ], "wires": [ { "source": { - "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o7" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" - }, - "size": 4 + "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "port": "in" + } }, { "source": { - "block": "906137ba-f840-4759-9556-b6a0ec101654", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o6" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" - }, - "size": 8 + "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "port": "in" + } }, { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "o" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o5" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", "port": "in" - }, - "size": 12 - } - ] - } - } - }, - "a0b8df28f3452068e469a9c210e4e62ae8c78900": { - "package": { - "name": "Valor_0_4bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 4 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "53acced8-0736-40f7-8db1-7103a21f31c2", - "type": "basic.output", - "data": { - "name": "k", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 928, - "y": 256 } }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": true + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o4" }, - "position": { - "x": 728, - "y": 152 + "target": { + "block": "f4978486-1baf-45b6-962d-f7c4f5021560", + "port": "in" } }, { - "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "type": "9274d3154d579c5922da669b25ca14097a46a22f", - "position": { - "x": 728, - "y": 256 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "port": "in" } - } - ], - "wires": [ + }, { "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "port": "in" } }, { "source": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", "port": "in" - }, - "size": 4 - } - ] - } - } - }, - "9274d3154d579c5922da669b25ca14097a46a22f": { - "package": { - "name": "Constante-4bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + } + }, { - "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", - "type": "basic.output", - "data": { - "name": "k", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 960, - "y": 248 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "port": "in" } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o8" }, - "position": { - "x": 728, - "y": 128 + "target": { + "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "port": "in" } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", - "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[3:0]", - "size": 4 - } - ] - } - }, - "position": { - "x": 672, - "y": 248 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o9" }, - "size": { - "width": 208, - "height": 64 + "target": { + "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "port": "in" } - } - ], - "wires": [ + }, { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o10" }, "target": { - "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", "port": "in" - }, - "size": 4 + } }, { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o11" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "port": "in" } + }, + { + "source": { + "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 } ] } } }, - "770c28026476212f3ed069d6ca576d596bfda158": { + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { "package": { - "name": "split-1-23", - "version": "0.1-c1588489478854", - "description": "split a 24-bit bus into 1 wire and a 23-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "o1" + "name": "" }, "position": { - "x": 584, - "y": 128 + "x": 64, + "y": 88 } }, { - "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "type": "basic.input", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "name": "i", - "range": "[23:0]", - "clock": false, - "size": 24 + "name": "" }, "position": { - "x": 64, - "y": 200 + "x": 784, + "y": 152 } }, { - "id": "b64bd4de-2f3d-447f-b060-266885b19807", - "type": "basic.output", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "name": "o0", - "range": "[22:0]", - "size": 23 + "name": "" }, "position": { - "x": 584, - "y": 264 + "x": 64, + "y": 224 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "assign o1 = i[23];\nassign o0 = i[22:0];", + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", "params": [], "ports": { "in": [ { - "name": "i", - "range": "[23:0]", - "size": 24 + "name": "a" + }, + { + "name": "b" } ], "out": [ { - "name": "o1" - }, - { - "name": "o0", - "range": "[22:0]", - "size": 23 + "name": "c" } ] } }, "position": { - "x": 224, - "y": 176 + "x": 256, + "y": 48 }, "size": { - "width": 256, - "height": 112 + "width": 464, + "height": 272 } } ], "wires": [ { "source": { - "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 24 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "port": "in" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 23 + } } ] } } }, - "7e4732d019bee7dcd43cb77e27f36dc2a19ff1ad": { + "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { "package": { - "name": "12-bits-Mux-2-1", + "name": "Join-8-4", "version": "0.0.1", - "description": "24-bits 2-1 multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "29f01cf3-7e6d-4f95-9e04-2236886e1e84", + "id": "906137ba-f840-4759-9556-b6a0ec101654", "type": "basic.input", - "data": { - "name": "i1", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "data": { + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": -704, - "y": -88 + "x": 136, + "y": 240 } }, { - "id": "712723db-f73b-4887-9c99-430b9e3c1e6f", + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", "type": "basic.output", "data": { "name": "o", "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "size": 12 }, "position": { - "x": -88, - "y": 0 + "x": 704, + "y": 288 } }, { - "id": "ede4baec-cd39-4aa2-a324-7924698c41f1", + "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", "type": "basic.input", "data": { "name": "i0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": -704, - "y": 0 - } - }, - { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": -704, - "y": 88 + "x": 136, + "y": 336 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "3545528c-05e2-4e95-8223-5b7b77587423", "type": "basic.code", "data": { - "code": "//-- Multiplexor de 2 a 1, \n//-- de 12 bits\n\nreg [11:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", + "code": "assign o = {i1,i0};\n\n", "params": [], "ports": { "in": [ { "name": "i1", - "range": "[11:0]", - "size": 12 + "range": "[7:0]", + "size": 8 }, { "name": "i0", - "range": "[11:0]", - "size": 12 - }, - { - "name": "sel" + "range": "[3:0]", + "size": 4 } ], "out": [ @@ -7990,184 +17350,228 @@ } }, "position": { - "x": -464, - "y": -104 + "x": 328, + "y": 224 }, "size": { - "width": 304, - "height": 272 + "width": 288, + "height": 192 } } ], "wires": [ { "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" - } + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + }, + "size": 4 + }, + { + "source": { + "block": "906137ba-f840-4759-9556-b6a0ec101654", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 8 }, { "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "block": "3545528c-05e2-4e95-8223-5b7b77587423", "port": "o" }, "target": { - "block": "712723db-f73b-4887-9c99-430b9e3c1e6f", + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", "port": "in" }, "size": 12 + } + ] + } + } + }, + "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "package": { + "name": "Valor_0_4bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 4 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 928, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } }, + { + "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "type": "9274d3154d579c5922da669b25ca14097a46a22f", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "ede4baec-cd39-4aa2-a324-7924698c41f1", - "port": "out" + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 12 + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } }, { "source": { - "block": "29f01cf3-7e6d-4f95-9e04-2236886e1e84", - "port": "out" + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" + "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "port": "in" }, - "size": 12 + "size": 4 } ] } } }, - "5124dff3f8dd174c4eadfa302b77435826215918": { + "9274d3154d579c5922da669b25ca14097a46a22f": { "package": { - "name": "Corazon_ms", - "version": "0.1", - "description": "Bombear 1 bit con el periodo especificado en el parámetro (en ms). Por defecto el periodo es de 100 ms", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22173.749%22%20height=%22156.392%22%20viewBox=%220%200%20162.89028%20146.61832%22%3E%3Cpath%20d=%22M78.495%20143.399c-2.574-4.43-6.565-8.766-14.127-15.35-4.096-3.566-6.588-5.546-20.775-16.506-11.12-8.593-16.668-13.36-23.098-19.847C14.07%2085.208%2010.293%2080.04%207.052%2073.303%204.984%2069%203.562%2064.854%202.676%2060.547c-1.123-5.472-1.275-7.324-1.27-15.382.008-10.573.357-12.332%203.828-19.385%202.58-5.239%204.54-7.997%208.62-12.141%203.963-4.022%206.536-5.85%2012.1-8.6%206.18-3.057%2010.65-3.859%2019.86-3.562%207.157.232%209.776.943%2015.45%204.208%208.929%205.138%2015.858%2013.387%2017.776%2021.162.313%201.27.636%202.312.719%202.312.082%200%20.805-1.487%201.606-3.305%202.727-6.179%205.26-9.95%209.284-13.828%2012.32-11.88%2031.744-14.027%2047.45-5.25%206.414%203.584%2011.633%208.802%2015.676%2015.675%203.184%205.41%204.812%2012.595%205.09%2022.464.402%2014.298-2.214%2024.207-9.174%2034.766-2.763%204.191-4.806%206.73-8.638%2010.73-6.182%206.458-11.758%2011.205-24.92%2021.216-8.307%206.317-13.23%2010.378-20.355%2016.8-5.71%205.143-14.558%2014.1-15.41%2015.596-.372.655-.71%201.19-.749%201.19-.039%200-.544-.816-1.124-1.814z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%222.813%22/%3E%3Ctext%20y=%22136.183%22%20x=%22114.12%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2253.914%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22136.183%22%20x=%22114.12%22%20font-weight=%22700%22%20font-size=%2230.808%22%3Ems%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Constante-4bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "70887b0b-826c-4150-a873-605b77da8272", + "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", "type": "basic.output", "data": { - "name": "" - }, - "position": { - "x": 560, - "y": 128 - } - }, - { - "id": "4656865c-bcf1-4668-8e13-9221e32222d3", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "name": "k", + "range": "[3:0]", + "size": 4 }, "position": { - "x": -296, - "y": 128 + "x": 960, + "y": 248 } }, { - "id": "319cdca8-e777-4c8b-88ca-f885ba96ad06", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", "type": "basic.constant", "data": { "name": "", - "value": "100", + "value": "0", "local": false }, "position": { - "x": 112, - "y": -224 + "x": 728, + "y": 128 } }, { - "id": "7e864797-b165-408f-a9ce-2e71dc63f8b8", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "\n//parameter HZ=1;\n\n//-- Constante para dividir y obtener una frecuencia de 1Hz\nlocalparam M = 12000*MS;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Registro del divisor\nreg [N-1:0] divcounter;\n\n//-- Temporal clock\nreg clk_t = 0;\n\n//-- Se usa un contador modulo M/2 para luego\n//-- pasarlo por un biestable T y dividir la frecuencia\n//-- entre 2, para que el ciclo de trabajo sea del 50%\nalways @(posedge clk)\n if (divcounter == M/2) begin\n clk_t <= 1;\n divcounter = 0;\n end \n else begin\n divcounter <= divcounter + 1;\n clk_t = 0;\n end \n \nreg clk_o = 0; \n \n//-- Biestable T para obtener ciclo de trabajo del 50%\nalways @(posedge clk)\n if (clk_t)\n clk_o <= ~clk_o;\n", + "code": "assign k = VALUE;", "params": [ { - "name": "MS" + "name": "VALUE" } ], "ports": { - "in": [ - { - "name": "clk" - } - ], + "in": [], "out": [ { - "name": "clk_o" + "name": "k", + "range": "[3:0]", + "size": 4 } ] } }, "position": { - "x": -104, - "y": -104 + "x": 672, + "y": 248 }, "size": { - "width": 528, - "height": 528 + "width": 208, + "height": 64 } } ], "wires": [ { "source": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk_o" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, "target": { - "block": "70887b0b-826c-4150-a873-605b77da8272", + "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", "port": "in" - } - }, - { - "source": { - "block": "4656865c-bcf1-4668-8e13-9221e32222d3", - "port": "out" - }, - "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "319cdca8-e777-4c8b-88ca-f885ba96ad06", + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", "port": "constant-out" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "MS" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } } ] } } }, - "8aafb75b9836728c23e1b5b324baf01016dec3d5": { + "770c28026476212f3ed069d6ca576d596bfda158": { "package": { - "name": "split-8-4", - "version": "0.1", - "description": "Split the 12-bit bus into a 8-bits bus and a 4-bits bus", + "name": "split-1-23", + "version": "0.1-c1588489478854", + "description": "split a 24-bit bus into 1 wire and a 23-bits bus", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, @@ -8175,195 +17579,65 @@ "graph": { "blocks": [ { - "id": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", "type": "basic.output", "data": { - "name": "o1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "o1" }, "position": { - "x": 552, - "y": 176 + "x": 584, + "y": 128 } }, { - "id": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", + "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", "type": "basic.input", "data": { "name": "i", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 56, + "x": 64, "y": 200 } }, { - "id": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "id": "b64bd4de-2f3d-447f-b060-266885b19807", "type": "basic.output", "data": { "name": "o0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "range": "[22:0]", + "size": 23 }, "position": { - "x": 552, - "y": 232 + "x": 584, + "y": 264 } }, { "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o1 = i[11:4];\nassign o0 = i[3:0];", + "code": "assign o1 = i[23];\nassign o0 = i[22:0];", "params": [], "ports": { "in": [ { "name": "i", - "range": "[11:0]", - "size": 12 + "range": "[23:0]", + "size": 24 } ], "out": [ { - "name": "o1", - "range": "[7:0]", - "size": 8 + "name": "o1" }, { "name": "o0", - "range": "[3:0]", - "size": 4 + "range": "[22:0]", + "size": 23 } ] } @@ -8381,14 +17655,14 @@ "wires": [ { "source": { - "block": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", + "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", "port": "out" }, "target": { "block": "16e78204-213e-4833-9096-89d735307ec2", "port": "i" }, - "size": 12 + "size": 24 }, { "source": { @@ -8396,10 +17670,9 @@ "port": "o1" }, "target": { - "block": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", "port": "in" - }, - "size": 8 + } }, { "source": { @@ -8407,19 +17680,19 @@ "port": "o0" }, "target": { - "block": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "block": "b64bd4de-2f3d-447f-b060-266885b19807", "port": "in" }, - "size": 4 + "size": 23 } ] } } }, - "a2dee03e657a227300f2452e83621f3d51dde843": { + "4d91143eb0ac88b88669eca9a15ede8720575f51": { "package": { - "name": "generator-tone-triangle", - "version": "0.1", + "name": "generator-tone-triangle CLONE", + "version": "0.1-c1717073074472", "description": "tone-triangle generator", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ETriang.%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413l38.981%2065.276%2042.952-65.276%2042.387%2065.276%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", @@ -8491,18 +17764,6 @@ "height": 64 } }, - { - "id": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "type": "c4c55ef0789d51deb758cb3be04a41176645f300", - "position": { - "x": 1048, - "y": 432 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", "type": "d4c82efb5b8421e49ac9b858ba26d2ae330d410a", @@ -8526,6 +17787,18 @@ "width": 96, "height": 64 } + }, + { + "id": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "type": "fce857ceb8e70b34eae4384880aa348131314c28", + "position": { + "x": 1048, + "y": 432 + }, + "size": { + "width": 96, + "height": 96 + } } ], "wires": [ @@ -8553,50 +17826,21 @@ "vertices": [], "size": 11 }, - { - "source": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "a2157279-c57a-4afc-b8f7-09d3dfe4031d" - }, - "vertices": [ - { - "x": 832, - "y": 568 - } - ], - "size": 11 - }, { "source": { "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" }, "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" }, "vertices": [] }, { "source": { - "block": "5d537c32-ed34-4830-8226-c5c7453e56be", - "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17" - }, - "vertices": [], - "size": 11 - }, - { - "source": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "9475475f-e8c6-4e95-8f49-3bb3465377ef" + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "6ec453ac-86e7-416f-bd41-ae5e17ad190d" }, "target": { "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", @@ -8637,6 +17881,34 @@ "port": "in" }, "size": 12 + }, + { + "source": { + "block": "5d537c32-ed34-4830-8226-c5c7453e56be", + "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "73af1101-73af-449e-8c8b-0f32cd177bbb" + }, + "size": 11 + }, + { + "source": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86" + }, + "vertices": [ + { + "x": 832, + "y": 544 + } + ], + "size": 11 } ] } @@ -9092,97 +18364,283 @@ "clock": false }, "position": { - "x": 80, - "y": 144 + "x": 80, + "y": 144 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[10:0]", + "size": 11 + } + ], + "out": [ + { + "name": "c", + "range": "[10:0]", + "size": 11 + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 400, + "height": 256 + } + } + ], + "wires": [ + { + "source": { + "block": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + }, + "size": 11 + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "c" + }, + "target": { + "block": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "port": "in" + }, + "size": 11 + } + ] + } + } + }, + "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { + "package": { + "name": "Join-11-1", + "version": "0.0.1", + "description": "Join an 11-bits bus and a 1-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 160, + "y": 240 + } + }, + { + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 704, + "y": 288 + } + }, + { + "id": "838102af-d39e-4d6b-be4d-558ffe297b05", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": 152, + "y": 336 + } + }, + { + "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "type": "basic.code", + "data": { + "code": "assign o = {i1,i0};\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 328, + "y": 224 + }, + "size": { + "width": 288, + "height": 192 + } + } + ], + "wires": [ + { + "source": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" + }, + "target": { + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 11 + }, + { + "source": { + "block": "838102af-d39e-4d6b-be4d-558ffe297b05", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + } + } + ] + } + } + }, + "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { + "package": { + "name": "0", + "version": "0.1", + "description": "Un bit constante a 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 512, + "y": 160 } }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", "type": "basic.code", "data": { - "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", + "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", "params": [], "ports": { - "in": [ - { - "name": "a", - "range": "[10:0]", - "size": 11 - } - ], + "in": [], "out": [ { - "name": "c", - "range": "[10:0]", - "size": 11 + "name": "q" } ] } }, "position": { - "x": 256, - "y": 48 + "x": 168, + "y": 112 }, "size": { - "width": 400, - "height": 256 + "width": 256, + "height": 160 } } ], "wires": [ { "source": { - "block": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - }, - "size": 11 - }, - { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "c" + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" }, "target": { - "block": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", "port": "in" - }, - "size": 11 + } } ] } } }, - "c4c55ef0789d51deb758cb3be04a41176645f300": { + "fce857ceb8e70b34eae4384880aa348131314c28": { "package": { - "name": "11bits-2-1-Mux", - "version": "0.1", - "description": "11 bits, 2-1 Multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "11-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (11-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", + "id": "73af1101-73af-449e-8c8b-0f32cd177bbb", "type": "basic.input", "data": { - "name": "i0", + "name": "1", "range": "[10:0]", "clock": false, "size": 11 }, "position": { - "x": -648, - "y": -88 + "x": 320, + "y": -64 } }, { - "id": "9475475f-e8c6-4e95-8f49-3bb3465377ef", + "id": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", "type": "basic.output", "data": { "name": "", @@ -9190,42 +18648,40 @@ "size": 11 }, "position": { - "x": -88, - "y": 0 + "x": 984, + "y": 24 } }, { - "id": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", + "id": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", "type": "basic.input", "data": { - "name": "i1", + "name": "0", "range": "[10:0]", "clock": false, "size": 11 }, "position": { - "x": -656, - "y": 0 + "x": 320, + "y": 24 } }, { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", "type": "basic.input", "data": { "name": "sel", "clock": false }, "position": { - "x": -656, - "y": 88 + "x": 320, + "y": 112 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "type": "basic.code", "data": { - "code": "\nreg [10:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", - "params": [], "ports": { "in": [ { @@ -9249,11 +18705,13 @@ "size": 11 } ] - } + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" }, "position": { - "x": -464, - "y": -104 + "x": 560, + "y": -80 }, "size": { "width": 304, @@ -9264,44 +18722,45 @@ "wires": [ { "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "port": "sel" - } + }, + "vertices": [] }, { "source": { - "block": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", - "port": "out" + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" + "block": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "port": "in" }, "size": 11 }, { "source": { - "block": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", + "block": "73af1101-73af-449e-8c8b-0f32cd177bbb", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" }, "size": 11 }, { "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "block": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "port": "out" }, "target": { - "block": "9475475f-e8c6-4e95-8f49-3bb3465377ef", - "port": "in" + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" }, "size": 11 } @@ -9309,71 +18768,89 @@ } } }, - "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { + "a4cc064d02cd8523ea1b78dcccc7e249936303c7": { "package": { - "name": "Join-11-1", - "version": "0.0.1", - "description": "Join an 11-bits bus and a 1-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "12-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (12-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "id": "eb7adce5-249d-4117-a18b-2eb7e5b8f397", "type": "basic.input", "data": { - "name": "i1", - "range": "[10:0]", + "name": "1", + "range": "[11:0]", "clock": false, - "size": 11 + "size": 12 }, "position": { - "x": 160, - "y": 240 + "x": 328, + "y": -64 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "id": "79275c72-5e8f-442b-a3d7-d96d35aa5436", "type": "basic.output", "data": { - "name": "o", + "name": "", "range": "[11:0]", "size": 12 }, "position": { - "x": 704, - "y": 288 + "x": 984, + "y": 24 } }, { - "id": "838102af-d39e-4d6b-be4d-558ffe297b05", + "id": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c", "type": "basic.input", "data": { - "name": "i0", + "name": "0", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 320, + "y": 24 + } + }, + { + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", + "data": { + "name": "sel", "clock": false }, "position": { - "x": 152, - "y": 336 + "x": 320, + "y": 112 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "type": "basic.code", "data": { - "code": "assign o = {i1,i0};\n\n", - "params": [], "ports": { "in": [ { "name": "i1", - "range": "[10:0]", - "size": 11 + "range": "[11:0]", + "size": 12 }, { - "name": "i0" + "name": "i0", + "range": "[11:0]", + "size": 12 + }, + { + "name": "sel" } ], "out": [ @@ -9383,112 +18860,64 @@ "size": 12 } ] - } + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" }, "position": { - "x": 328, - "y": 224 + "x": 560, + "y": -80 }, "size": { - "width": 288, - "height": 192 + "width": 304, + "height": 272 } } ], "wires": [ { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "port": "o" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "block": "79275c72-5e8f-442b-a3d7-d96d35aa5436", "port": "in" }, "size": 12 }, { "source": { - "block": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "block": "eb7adce5-249d-4117-a18b-2eb7e5b8f397", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "port": "i1" }, - "size": 11 + "size": 12 }, { "source": { - "block": "838102af-d39e-4d6b-be4d-558ffe297b05", + "block": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "port": "i0" - } - } - ] - } - } - }, - "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { - "package": { - "name": "0", - "version": "0.1", - "description": "Un bit constante a 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" - }, - "position": { - "x": 512, - "y": 160 - } - }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 256, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } + "size": 12 } ] } diff --git a/examples/04-tone-triang-noise.ice b/examples/04-tone-triang-noise.ice index ca15b9d..cea51f9 100644 --- a/examples/04-tone-triang-noise.ice +++ b/examples/04-tone-triang-noise.ice @@ -1049,18 +1049,6 @@ "height": 64 } }, - { - "id": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "type": "27b197ddfe28a2c9581a304b0ccd015cc15cf26e", - "position": { - "x": 2448, - "y": 432 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "29e9ef20-37a5-4c3a-be2c-2822ea40ce15", "type": "basic.info", @@ -1093,30 +1081,6 @@ "height": 56 } }, - { - "id": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "type": "b874a1c418f63978103a0af886f5f5a730f47061", - "position": { - "x": 1640, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "409d054f-820e-44d1-9997-c72117d07134", - "type": "772f42d85230a43faf163474e79bd25bdd1f2aba", - "position": { - "x": 1576, - "y": 504 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "4025c3fc-24e7-49fa-b596-4a5b1b74cd7a", "type": "basic.info", @@ -1194,11 +1158,11 @@ } }, { - "id": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", - "type": "a2dee03e657a227300f2452e83621f3d51dde843", + "id": "53295d05-ee28-4a9f-ad8c-a654a091b887", + "type": "dadf5f18d6989244880f3b77b24e7f3e22fa10c3", "position": { - "x": 1624, - "y": 720 + "x": 1640, + "y": 216 }, "size": { "width": 96, @@ -1206,8 +1170,20 @@ } }, { - "id": "f3c1d315-cb1e-4c92-bb29-7f07751582c2", - "type": "2ad44bfc5c8570b7f41d1d408057a9eb8229e7ff", + "id": "3dc8e2bb-aede-4648-9e92-5adce51100fe", + "type": "a7499d579ce034fce2c6c201134ee0acbd4232cd", + "position": { + "x": 1576, + "y": 504 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "de49f79b-d5f9-479b-92a5-34b0b661bb09", + "type": "2f025eeee961b5e9fb60884fba021ac354d7248b", "position": { "x": 1624, "y": 832 @@ -1218,35 +1194,59 @@ } }, { - "id": "4357f434-577d-4351-af65-7019a2841af7", - "type": "7e4732d019bee7dcd43cb77e27f36dc2a19ff1ad", + "id": "352b5e3f-ca9b-4618-ae8d-b86cfdd0c339", + "type": "4d91143eb0ac88b88669eca9a15ede8720575f51", "position": { - "x": 2184, + "x": 1624, + "y": 720 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0a3300fc-bb72-4d7d-b0ad-4313aa09cdd9", + "type": "9bcc065f9661d93d2037a3bccf05b884964e1f4b", + "position": { + "x": 2448, "y": 432 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "1bb22366-29dc-416e-80d1-a0abe0e8570c", - "type": "5124dff3f8dd174c4eadfa302b77435826215918", + "id": "ccdd1f33-9deb-461e-9652-c0e0730a9356", + "type": "4aa649ed9a98770b6b495b76e13c8991f2ada2f8", "position": { - "x": 2008, + "x": 1984, "y": 632 }, "size": { "width": 96, "height": 64 } + }, + { + "id": "8ab6932b-e299-4233-ae94-f768c5a07d7a", + "type": "a4cc064d02cd8523ea1b78dcccc7e249936303c7", + "position": { + "x": 2184, + "y": 432 + }, + "size": { + "width": 96, + "height": 96 + } } ], "wires": [ { "source": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "53295d05-ee28-4a9f-ad8c-a654a091b887", + "port": "fb8ce452-0454-433b-b40f-e8398e0be05d" }, "target": { "block": "1dc9ade9-9773-4717-a261-88673d386f09", @@ -1259,13 +1259,13 @@ "port": "outlabel" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "3dc8e2bb-aede-4648-9e92-5adce51100fe", "port": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90" } }, { "source": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "3dc8e2bb-aede-4648-9e92-5adce51100fe", "port": "bf631fb2-9575-442d-ae82-71dd0be21888" }, "target": { @@ -1291,7 +1291,7 @@ "port": "outlabel" }, "target": { - "block": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", + "block": "352b5e3f-ca9b-4618-ae8d-b86cfdd0c339", "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" }, "size": 24 @@ -1308,8 +1308,8 @@ }, { "source": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" + "block": "0a3300fc-bb72-4d7d-b0ad-4313aa09cdd9", + "port": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d" }, "target": { "block": "66d901ac-25da-4b75-95e7-26134253afcb", @@ -1322,8 +1322,8 @@ "port": "constant-out" }, "target": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "608882f4-bffa-4cac-940f-99f60d98ad53" + "block": "53295d05-ee28-4a9f-ad8c-a654a091b887", + "port": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd" } }, { @@ -1332,14 +1332,14 @@ "port": "92dade38-46ec-4714-b28b-f4bb1aeca992" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "3dc8e2bb-aede-4648-9e92-5adce51100fe", "port": "4329f2d6-e1ce-4034-becb-e7fda9e134a8" }, "size": 16 }, { "source": { - "block": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", + "block": "352b5e3f-ca9b-4618-ae8d-b86cfdd0c339", "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { @@ -1365,14 +1365,14 @@ "port": "outlabel" }, "target": { - "block": "f3c1d315-cb1e-4c92-bb29-7f07751582c2", + "block": "de49f79b-d5f9-479b-92a5-34b0b661bb09", "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" }, "size": 24 }, { "source": { - "block": "f3c1d315-cb1e-4c92-bb29-7f07751582c2", + "block": "de49f79b-d5f9-479b-92a5-34b0b661bb09", "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { @@ -1383,66 +1383,65 @@ }, { "source": { - "block": "4f81655f-16b7-4924-9741-64469e21c1a3", - "port": "outlabel" + "block": "ccdd1f33-9deb-461e-9652-c0e0730a9356", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "4357f434-577d-4351-af65-7019a2841af7", - "port": "29f01cf3-7e6d-4f95-9e04-2236886e1e84" - }, - "size": 12 + "block": "8ab6932b-e299-4233-ae94-f768c5a07d7a", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" + } }, { "source": { - "block": "ec788131-8c28-47fd-a2f3-6b9560728671", - "port": "outlabel" + "block": "8ab6932b-e299-4233-ae94-f768c5a07d7a", + "port": "79275c72-5e8f-442b-a3d7-d96d35aa5436" }, "target": { - "block": "4357f434-577d-4351-af65-7019a2841af7", - "port": "ede4baec-cd39-4aa2-a324-7924698c41f1" + "block": "0a3300fc-bb72-4d7d-b0ad-4313aa09cdd9", + "port": "56c80258-8515-47c4-98b9-af247b8fa77b" }, "size": 12 }, { "source": { - "block": "97cfba3e-5cbc-4f14-85b0-d6a808884cd4", - "port": "constant-out" + "block": "8ab6932b-e299-4233-ae94-f768c5a07d7a", + "port": "79275c72-5e8f-442b-a3d7-d96d35aa5436" }, "target": { - "block": "1bb22366-29dc-416e-80d1-a0abe0e8570c", - "port": "319cdca8-e777-4c8b-88ca-f885ba96ad06" + "block": "90d8b448-b111-4639-9c30-7ac40362fb98", + "port": "inlabel" }, - "vertices": [] + "size": 12 }, { "source": { - "block": "1bb22366-29dc-416e-80d1-a0abe0e8570c", - "port": "70887b0b-826c-4150-a873-605b77da8272" + "block": "97cfba3e-5cbc-4f14-85b0-d6a808884cd4", + "port": "constant-out" }, "target": { - "block": "4357f434-577d-4351-af65-7019a2841af7", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "block": "ccdd1f33-9deb-461e-9652-c0e0730a9356", + "port": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10" } }, { "source": { - "block": "4357f434-577d-4351-af65-7019a2841af7", - "port": "712723db-f73b-4887-9c99-430b9e3c1e6f" + "block": "ec788131-8c28-47fd-a2f3-6b9560728671", + "port": "outlabel" }, "target": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "5b2833db-e0ab-43e7-bb2b-528f9940baf6" + "block": "8ab6932b-e299-4233-ae94-f768c5a07d7a", + "port": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c" }, "size": 12 }, { "source": { - "block": "4357f434-577d-4351-af65-7019a2841af7", - "port": "712723db-f73b-4887-9c99-430b9e3c1e6f" + "block": "4f81655f-16b7-4924-9741-64469e21c1a3", + "port": "outlabel" }, "target": { - "block": "90d8b448-b111-4639-9c30-7ac40362fb98", - "port": "inlabel" + "block": "8ab6932b-e299-4233-ae94-f768c5a07d7a", + "port": "eb7adce5-249d-4117-a18b-2eb7e5b8f397" }, "size": 12 } @@ -1544,144 +1543,24 @@ } } }, - "27b197ddfe28a2c9581a304b0ccd015cc15cf26e": { + "8aafb75b9836728c23e1b5b324baf01016dec3d5": { "package": { - "name": "PDM-1bit-DAC", + "name": "split-8-4", "version": "0.1", - "description": "Pulse-density modulated 1-bit DAC", + "description": "Split the 12-bit bus into a 8-bits bus and a 4-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588403710364 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": -8, - "y": 64 - } - }, - { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 136, - "y": 64 - } - }, - { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 912, - "y": 168 - } - }, - { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 128, - "y": 208 - } - }, - { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", + "id": "afe50480-6fe5-4ca6-b639-c730569a6a1d", "type": "basic.output", "data": { - "name": "out" - }, - "position": { - "x": 1192, - "y": 256 - } - }, - { - "id": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", - "size": 12 - }, - "position": { - "x": 136, - "y": 320 - } - }, - { - "id": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", - "type": "basic.outputLabel", - "data": { - "blockColor": "navy", - "name": "din", - "range": "[11:0]", - "oldBlockColor": "navy", - "size": 12 - }, - "position": { - "x": 344, - "y": 368 - } - }, - { - "id": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", + "name": "o1", + "range": "[7:0]", "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, { "index": "7", "name": "", @@ -1723,32 +1602,18 @@ "value": "" } ], - "virtual": true + "virtual": false }, "position": { - "x": 824, - "y": 384 + "x": 552, + "y": 176 } }, { - "id": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", + "id": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", "type": "basic.input", "data": { - "name": "din", - "range": "[11:0]", - "clock": false, - "size": 12 - }, - "position": { - "x": 0, - "y": 520 - } - }, - { - "id": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "type": "basic.inputLabel", - "data": { - "name": "din", + "name": "i", "range": "[11:0]", "pins": [ { @@ -1812,551 +1677,665 @@ "value": "" } ], - "virtual": true, - "oldBlockColor": "navy", - "blockColor": "navy" + "virtual": false, + "clock": false }, "position": { - "x": 160, - "y": 520 - } - }, - { - "id": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "type": "322ac6e3834f854f1ff8aaea07062751a7577cbf", - "position": { - "x": 288, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 + "x": 56, + "y": 200 } }, { - "id": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "id": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, "position": { - "x": 488, - "y": 288 + "x": 552, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[11:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 }, "size": { - "width": 96, - "height": 64 + "width": 256, + "height": 112 } + } + ], + "wires": [ + { + "source": { + "block": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 }, { - "id": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "type": "117c154c9710e7538dc4149a2241691940cc4a09", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "afe50480-6fe5-4ca6-b639-c730569a6a1d", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "dadf5f18d6989244880f3b77b24e7f3e22fa10c3": { + "package": { + "name": "Generic-comp", + "version": "0.3", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1717074236963 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb293ecb-e039-46f8-925f-3846c61121cb", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, "position": { - "x": 688, - "y": 288 + "x": 176, + "y": 464 + } + }, + { + "id": "341ecb90-c222-498d-b060-03a84934ae42", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 320, + "y": 464 } }, { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "id": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, "position": { - "x": 1048, - "y": 256 + "x": 904, + "y": 752 + } + }, + { + "id": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 520, + "y": 800 } }, { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", - "type": "basic.info", + "id": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "type": "basic.output", "data": { - "info": "Accumulator", - "readonly": true + "name": "" }, "position": { - "x": 304, - "y": 216 + "x": 1224, + "y": 816 + } + }, + { + "id": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "type": "basic.constant", + "data": { + "name": "FULL_SCALE", + "value": "2**26", + "local": true }, - "size": { - "width": 120, - "height": 40 + "position": { + "x": 216, + "y": 736 } }, { - "id": "12428847-e480-477f-be65-13b493b6c88c", - "type": "basic.info", + "id": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "type": "basic.constant", "data": { - "info": "Add the incoming sample \nto the accumulator", - "readonly": true + "name": "sys", + "value": "12", + "local": false }, "position": { - "x": 464, - "y": 216 + "x": 368, + "y": 736 + } + }, + { + "id": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", + "position": { + "x": 864, + "y": 848 }, "size": { - "width": 200, - "height": 56 + "width": 96, + "height": 64 + } + }, + { + "id": "9911354d-905b-4a15-9145-f91f62b8cb74", + "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "position": { + "x": 320, + "y": 864 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", + "id": "7a1019da-b362-4368-8a7b-ebe37beaad69", "type": "basic.info", "data": { - "info": "Incoming sample", + "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", "readonly": true }, "position": { - "x": 48, - "y": 488 + "x": 320, + "y": 640 }, "size": { - "width": 152, - "height": 40 + "width": 376, + "height": 72 } }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", + "id": "7027d28e-a7d4-4956-806f-6c5ffb04e545", "type": "basic.info", "data": { - "info": "Accumulator overflow \ncarry set (MSB)", + "info": "## 1MHZ Heart", "readonly": true }, "position": { - "x": 696, - "y": 216 + "x": 568, + "y": 424 }, "size": { - "width": 184, - "height": 56 + "width": 136, + "height": 40 } }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", + "id": "0349028a-b6ab-4724-87cb-fa615235b14d", "type": "basic.info", "data": { - "info": "Pulse-density modulated \noutput signal", + "info": "Constant value: \nk = Full_scale / Sys_clock", "readonly": true }, "position": { - "x": 1184, - "y": 208 + "x": 424, + "y": 912 }, "size": { - "width": 200, - "height": 56 + "width": 224, + "height": 64 } }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", + "id": "342ebac8-1c7d-40a2-86c3-80fee0f97ad0", "type": "basic.info", "data": { - "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", "readonly": true }, "position": { - "x": 320, - "y": -256 + "x": 584, + "y": 744 }, "size": { - "width": 760, - "height": 272 + "width": 336, + "height": 56 } }, { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", + "id": "18c59370-73e4-4e97-bf75-08f720ef4b7a", "type": "basic.info", "data": { - "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "info": "The output is a tic", "readonly": true }, "position": { - "x": 1272, - "y": -248 + "x": 1080, + "y": 768 }, "size": { - "width": 496, - "height": 184 + "width": 184, + "height": 48 + } + }, + { + "id": "776614c6-7e90-4828-ac11-cf91158e0156", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1056, + "y": 816 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "type": "796c3e94f671052cf01acc3e9dc67782339a169e", + "position": { + "x": 648, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "block": "cb293ecb-e039-46f8-925f-3846c61121cb", "port": "out" }, "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "block": "341ecb90-c222-498d-b060-03a84934ae42", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" - }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", + "block": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", "port": "outlabel" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } - }, - { - "source": { - "block": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "port": "out", - "size": 12 - }, - "target": { - "block": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "port": "inlabel" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "2708468d-1088-4570-be63-fb0d4799a941" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", + "block": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", "port": "outlabel" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "size": 12 + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "d3293383-456c-471c-974d-342cc97e652b", - "size": 12 + "block": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "port": "constant-out" }, "target": { - "block": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "port": "inlabel" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "56066111-a701-4e2c-b64c-aeed7831cf00" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "port": "outlabel" + "block": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "port": "constant-out" }, "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "size": 12 + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + "block": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "port": "in" }, - "vertices": [], - "size": 12 + "vertices": [] }, { "source": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" }, "target": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" }, - "vertices": [], - "size": 13 + "vertices": [] }, { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" }, "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" }, - "vertices": [] + "vertices": [], + "size": 26 }, { "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "b528263e-f7ac-434f-b590-7de7a093d85c" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" }, - "vertices": [] + "vertices": [], + "size": 26 } ] } } }, - "322ac6e3834f854f1ff8aaea07062751a7577cbf": { + "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { "package": { - "name": "Registro-12bits-system", + "name": "split-1-25-bus", "version": "0.1", - "description": "Registro de 12 bits", + "description": "Split the 26bits into 1 bits an a 25-bits bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 120, - "y": 192 - } - }, - { - "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", "type": "basic.output", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "name": "o1" }, "position": { - "x": 632, - "y": 248 + "x": 584, + "y": 144 } }, { - "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "type": "basic.input", "data": { - "name": "", - "range": "[11:0]", + "name": "i", + "range": "[25:0]", "clock": false, - "size": 12 + "size": 26 }, "position": { - "x": 120, - "y": 296 + "x": 136, + "y": 200 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "o0", + "range": "[24:0]", + "size": 25 }, "position": { - "x": 376, - "y": 56 + "x": 592, + "y": 248 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "localparam N = 12;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o1 = i[25];\nassign o0 = i[24:0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "d", - "range": "[11:0]", - "size": 12 + "name": "i", + "range": "[25:0]", + "size": 26 } ], "out": [ { - "name": "q", - "range": "[11:0]", - "size": 12 + "name": "o1" + }, + { + "name": "o0", + "range": "[24:0]", + "size": 25 } ] } }, "position": { - "x": 280, + "x": 296, "y": 176 }, "size": { - "width": 288, - "height": 200 + "width": 208, + "height": 112 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", "port": "in" }, - "size": 12 + "size": 25 }, { "source": { - "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 12 + "size": 26 } ] } } }, - "29c9a45c6996a3804d02693a6f8a8daf6a157256": { + "88097a55204933bef05c6297042b1543bf8e94a9": { "package": { - "name": "sum-2op-12bits", + "name": "contant-division", "version": "0.1", - "description": "Sumador de dos operandos de 12 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "Calculate a new constant value dividing k1 / k2", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588415989667 }, "design": { "graph": { "blocks": [ { - "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "type": "basic.input", + "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "type": "basic.output", "data": { "name": "", - "range": "[11:0]", + "range": "[25:0]", "pins": [ { - "index": "11", + "index": "25", "name": "", "value": "" }, { - "index": "10", + "index": "24", "name": "", "value": "" }, { - "index": "9", + "index": "23", "name": "", "value": "" }, { - "index": "8", + "index": "22", "name": "", "value": "" }, { - "index": "7", + "index": "21", "name": "", "value": "" }, { - "index": "6", + "index": "20", "name": "", "value": "" }, { - "index": "5", + "index": "19", "name": "", "value": "" }, { - "index": "4", + "index": "18", "name": "", "value": "" }, { - "index": "3", + "index": "17", "name": "", "value": "" }, { - "index": "2", + "index": "16", "name": "", "value": "" }, { - "index": "1", + "index": "15", "name": "", "value": "" }, { - "index": "0", + "index": "14", "name": "", "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": 224, - "y": 176 - } - }, - { - "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "type": "basic.output", - "data": { - "name": "", - "range": "[12:0]", - "pins": [ + }, + { + "index": "13", + "name": "", + "value": "" + }, { "index": "12", "name": "", @@ -2426,893 +2405,600 @@ "virtual": false }, "position": { - "x": 672, - "y": 192 + "x": 856, + "y": 104 } }, { - "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "type": "basic.input", + "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "type": "basic.constant", "data": { - "name": "", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "k1", + "value": "12", + "local": false }, "position": { - "x": 224, - "y": 248 + "x": 424, + "y": -24 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "type": "basic.constant", + "data": { + "name": "k2", + "value": "12", + "local": false + }, + "position": { + "x": 624, + "y": -32 + } + }, + { + "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", "type": "basic.code", "data": { - "code": "assign s = a + b;", - "params": [], + "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", + "params": [ + { + "name": "K1" + }, + { + "name": "K2" + } + ], "ports": { - "in": [ - { - "name": "a", - "range": "[11:0]", - "size": 12 - }, - { - "name": "b", - "range": "[11:0]", - "size": 12 - } - ], + "in": [], "out": [ { - "name": "s", - "range": "[12:0]", - "size": 13 + "name": "k", + "range": "[25:0]", + "size": 26 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 368, + "y": 80 }, "size": { - "width": 216, - "height": 64 + "width": 408, + "height": 104 } } ], "wires": [ { "source": { - "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", - "port": "out" + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "k" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "port": "in" }, - "size": 12 + "size": 26 }, { "source": { - "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "port": "out" + "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "port": "constant-out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 12 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K1" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "port": "constant-out" }, "target": { - "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", - "port": "in" - }, - "size": 13 + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K2" + } } ] } } }, - "117c154c9710e7538dc4149a2241691940cc4a09": { + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { "package": { - "name": "split-1-12-bus", - "version": "0.1", - "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", "type": "basic.output", "data": { - "name": "o1", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": false + "name": "nc" }, "position": { - "x": 584, - "y": 144 + "x": 840, + "y": 160 } }, { - "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "type": "basic.input", "data": { - "name": "i", - "range": "[12:0]", - "pins": [ - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "", "clock": false }, "position": { - "x": 144, - "y": 200 + "x": 152, + "y": 280 } }, { - "id": "d3293383-456c-471c-974d-342cc97e652b", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "type": "basic.output", "data": { - "name": "o0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "" }, "position": { - "x": 576, - "y": 240 + "x": 840, + "y": 400 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", "data": { - "code": "assign o1 = i[12];\nassign o0 = i[11:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[12:0]", - "size": 13 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 - } - ] - } + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 176, + "y": -16 }, "size": { - "width": 208, - "height": 112 + "width": 568, + "height": 80 } - } - ], - "wires": [ + }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true }, - "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 } }, { - "source": { - "block": "ecf75200-3425-42bf-b093-a4b731cadab6", - "port": "out" + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "position": { + "x": 168, + "y": 120 }, - "size": 13 + "size": { + "width": 96, + "height": 48 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true }, - "target": { - "block": "d3293383-456c-471c-974d-342cc97e652b", - "port": "in" + "position": { + "x": 328, + "y": 456 }, - "size": 12 - } - ] - } - } - }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { - "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 168, + "height": 48 + } + }, { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", - "type": "basic.input", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "", - "clock": true + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { - "x": 192, - "y": 136 + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "type": "basic.output", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", "data": { - "name": "" + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true }, "position": { - "x": 680, - "y": 184 + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "type": "basic.input", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", "data": { - "name": "", - "clock": false + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true }, "position": { - "x": 192, - "y": 232 + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 } }, { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false - }, + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 456, - "y": 64 + "x": 552, + "y": 280 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "type": "basic.code", - "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 384, - "y": 168 + "x": 320, + "y": 264 }, "size": { - "width": 232, - "height": 88 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" } } ] } } }, - "b874a1c418f63978103a0af886f5f5a730f47061": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "Generic-comp", - "version": "0.1", - "description": "Componente genérico", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588419319540 + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "" }, "position": { "x": 112, - "y": -160 + "y": 72 } }, { - "id": "3c21d0b8-1500-4302-8605-4d6ed5629399", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "" }, "position": { - "x": 256, - "y": -160 + "x": 560, + "y": 72 } }, { - "id": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "type": "basic.outputLabel", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 840, - "y": 128 - } - }, - { - "id": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "x": 256, + "y": 48 }, - "position": { - "x": 456, - "y": 176 + "size": { + "width": 256, + "height": 104 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", "data": { - "name": "" + "info": "Input", + "readonly": true }, "position": { - "x": 1160, - "y": 192 - } - }, - { - "id": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "type": "basic.constant", - "data": { - "name": "FULL_SCALE", - "value": "2**26", - "local": true + "x": 128, + "y": 32 }, - "position": { - "x": 152, - "y": 112 + "size": { + "width": 80, + "height": 40 } }, { - "id": "608882f4-bffa-4cac-940f-99f60d98ad53", - "type": "basic.constant", + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", "data": { - "name": "sys", - "value": "12", - "local": false + "info": "Output", + "readonly": true }, "position": { - "x": 304, - "y": 112 - } - }, - { - "id": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 992, - "y": 192 + "x": 576, + "y": 40 }, "size": { - "width": 96, - "height": 64 + "width": 80, + "height": 40 } - }, + } + ], + "wires": [ { - "id": "cc596b64-394a-42a6-8d89-6c3835af332b", - "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", - "position": { - "x": 800, - "y": 224 + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" } }, { - "id": "67203b56-8451-47ba-959a-75491b1726b7", - "type": "88097a55204933bef05c6297042b1543bf8e94a9", - "position": { - "x": 256, - "y": 240 + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" } - }, + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "type": "81a70a6cf9e19133ae28596244673dd8b334975a", - "position": { - "x": 584, - "y": 224 + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 96, + "y": 56 } }, { - "id": "32f7ed09-9081-4108-bb42-b2a237a9f44f", - "type": "basic.info", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", - "readonly": true + "name": "" }, "position": { - "x": 256, - "y": 16 - }, - "size": { - "width": 376, - "height": 72 + "x": 600, + "y": 96 } }, { - "id": "c08702d3-eaf3-4131-a428-78c06a027c37", - "type": "basic.info", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "info": "## 1MHZ Heart", - "readonly": true + "name": "" }, "position": { - "x": 504, - "y": -200 - }, - "size": { - "width": 136, - "height": 40 - } - }, - { - "id": "303020b0-64ad-4fe4-ac69-6d2d657cae25", - "type": "basic.info", - "data": { - "info": "Constant value: \nk = Full_scale / Sys_clock", - "readonly": true - }, - "position": { - "x": 360, - "y": 288 - }, - "size": { - "width": 224, - "height": 64 - } - }, - { - "id": "b3ce1313-5336-44c8-854a-87ac1797e696", - "type": "basic.info", - "data": { - "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", - "readonly": true - }, - "position": { - "x": 520, - "y": 120 - }, - "size": { - "width": 336, - "height": 56 + "x": 96, + "y": 128 } }, { - "id": "ff952d5a-c406-4ca7-9cb8-1a31ac75f4f6", - "type": "basic.info", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "info": "The output is a tic", - "readonly": true + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 1016, - "y": 144 + "x": 256, + "y": 48 }, "size": { - "width": 184, - "height": 48 + "width": 304, + "height": 152 } } ], "wires": [ { "source": { - "block": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "3c21d0b8-1500-4302-8605-4d6ed5629399", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "port": "outlabel" - }, - "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "port": "outlabel" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "4196184b-4a60-493b-bcc6-c95958483683" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" } }, { "source": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" - }, - "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" - }, - "vertices": [] - }, - { - "source": { - "block": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "port": "constant-out" - }, - "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "56066111-a701-4e2c-b64c-aeed7831cf00" - }, - "vertices": [] - }, - { - "source": { - "block": "608882f4-bffa-4cac-940f-99f60d98ad53", - "port": "constant-out" - }, - "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" - }, - "vertices": [] - }, - { - "source": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" - }, - "target": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" - }, - "vertices": [], - "size": 26 - }, - { - "source": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "b528263e-f7ac-434f-b590-7de7a093d85c" - }, - "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" - }, - "vertices": [], - "size": 26 - }, - { - "source": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" } } @@ -3320,540 +3006,493 @@ } } }, - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "Subida", - "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4196184b-4a60-493b-bcc6-c95958483683", + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 152, - "y": 176 + "x": 208, + "y": 184 } }, { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 624, - "y": 200 + "x": 816, + "y": 232 } }, { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", "type": "basic.input", "data": { "name": "", "clock": false }, "position": { - "x": 152, - "y": 232 + "x": 208, + "y": 280 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 } }, { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", "type": "basic.code", "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", - "params": [], + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ + { + "name": "INI" + } + ], "ports": { "in": [ { "name": "clk" }, { - "name": "i" + "name": "d" } ], "out": [ { - "name": "o" + "name": "q" } ] } }, "position": { - "x": 336, - "y": 176 + "x": 384, + "y": 168 }, "size": { - "width": 232, - "height": 112 - } - } - ], - "wires": [ - { - "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "port": "out" - }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" + "width": 352, + "height": 192 } }, { - "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true }, - "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", - "port": "in" - } - }, - { - "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" + "position": { + "x": 488, + "y": 32 }, - "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" + "size": { + "width": 208, + "height": 40 } - } - ] - } - } - }, - "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { - "package": { - "name": "split-1-25-bus", - "version": "0.1", - "description": "Split the 26bits into 1 bits an a 25-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "type": "basic.output", + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", "data": { - "name": "o1" + "info": "System clock", + "readonly": true }, "position": { - "x": 584, - "y": 144 + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 } }, { - "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", - "type": "basic.input", + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", "data": { - "name": "i", - "range": "[25:0]", - "clock": false, - "size": 26 + "info": "Input data", + "readonly": true }, "position": { - "x": 136, - "y": 200 + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 } }, { - "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "type": "basic.output", + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", "data": { - "name": "o0", - "range": "[24:0]", - "size": 25 + "info": "Output", + "readonly": true }, "position": { - "x": 592, - "y": 248 + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", "data": { - "code": "assign o1 = i[25];\nassign o0 = i[24:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[24:0]", - "size": 25 - } - ] - } + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 144, + "y": -136 }, "size": { - "width": 208, - "height": 112 + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" }, "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", - "port": "in" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" }, "target": { - "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" }, - "size": 25 + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } }, { "source": { - "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 26 + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } } ] } } }, - "88097a55204933bef05c6297042b1543bf8e94a9": { + "796c3e94f671052cf01acc3e9dc67782339a169e": { "package": { - "name": "contant-division", - "version": "0.1", - "description": "Calculate a new constant value dividing k1 / k2", + "name": "26-bits-accumulator", + "version": "0.2", + "description": "26-bits accumulator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588415989667 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 }, "design": { "graph": { "blocks": [ { - "id": "b528263e-f7ac-434f-b590-7de7a093d85c", - "type": "basic.output", + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", "data": { "name": "", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "clock": true }, "position": { - "x": 856, - "y": 104 + "x": 48, + "y": 40 } }, { - "id": "56066111-a701-4e2c-b64c-aeed7831cf00", - "type": "basic.constant", + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", "data": { - "name": "k1", - "value": "12", - "local": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 424, - "y": -24 + "x": 192, + "y": 40 } }, { - "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "type": "basic.constant", - "data": { - "name": "k2", - "value": "12", - "local": false + "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 }, "position": { - "x": 624, - "y": -32 + "x": 1000, + "y": 136 } }, { - "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "type": "basic.code", + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", "data": { - "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", - "params": [ - { - "name": "K1" - }, - { - "name": "K2" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[25:0]", - "size": 26 - } - ] - } + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, "position": { - "x": 368, - "y": 80 + "x": 264, + "y": 192 + } + }, + { + "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 256, + "y": 256 + } + }, + { + "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 824, + "y": 272 + } + }, + { + "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "type": "basic.input", + "data": { + "name": "inc", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 16, + "y": 376 + } + }, + { + "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "position": { + "x": 648, + "y": 272 }, "size": { - "width": 408, - "height": 104 + "width": 96, + "height": 64 + } + }, + { + "id": "1df42869-92b9-4d52-9f23-8c11c353e787", + "type": "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6", + "position": { + "x": 432, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "k" + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" }, "target": { - "block": "b528263e-f7ac-434f-b590-7de7a093d85c", - "port": "in" + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "port": "outlabel" + }, + "target": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "554e0534-d5da-4c8a-a78e-182a3698839e", + "size": 26 }, "size": 26 }, { "source": { - "block": "56066111-a701-4e2c-b64c-aeed7831cf00", - "port": "constant-out" + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "size": 26 }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K1" - } + "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "port": "inlabel" + }, + "size": 26 }, { "source": { - "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "port": "constant-out" + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K2" + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "ddb7faf8-5783-464a-806d-e7cb3e38683b" } + }, + { + "source": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" + }, + "target": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "0c88add0-cbf5-4d14-967b-417400538a29" + }, + "vertices": [], + "size": 26 + }, + { + "source": { + "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "port": "out" + }, + "target": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + }, + "size": 26 + }, + { + "source": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" + }, + "target": { + "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "port": "in" + }, + "size": 26 } ] } } }, - "81a70a6cf9e19133ae28596244673dd8b334975a": { + "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { "package": { - "name": "26-bits-accumulator", + "name": "sum-2op-26bits", "version": "0.1", - "description": "26-bits accumulator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "description": "24-bits adder with two operands", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "id": "0c88add0-cbf5-4d14-967b-417400538a29", "type": "basic.input", "data": { "name": "", - "clock": true - }, - "position": { - "x": 48, - "y": 40 - } - }, - { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { "x": 192, - "y": 40 + "y": 144 } }, { - "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "type": "basic.output", "data": { "name": "", @@ -3861,218 +3500,58 @@ "size": 26 }, "position": { - "x": 1000, - "y": 136 + "x": 672, + "y": 192 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", + "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "", + "range": "[25:0]", + "clock": false, + "size": 26 }, "position": { - "x": 280, - "y": 208 + "x": 192, + "y": 256 } }, { - "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "type": "basic.inputLabel", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 824, - "y": 272 - } - }, - { - "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "size": 26 - }, - "position": { - "x": 272, - "y": 272 - } - }, - { - "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "type": "basic.input", - "data": { - "name": "inc", - "range": "[25:0]", - "clock": false, - "size": 26 - }, - "position": { - "x": 16, - "y": 376 - } - }, - { - "id": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "type": "335f07bcdf418bb67136b63c8cfc389233bbc50d", - "position": { - "x": 448, - "y": 256 + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[25:0]", + "size": 26 + }, + { + "name": "b", + "range": "[25:0]", + "size": 26 + } + ], + "out": [ + { + "name": "s", + "range": "[25:0]", + "size": 26 + } + ] + } }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", "position": { - "x": 648, - "y": 272 + "x": 384, + "y": 192 }, "size": { - "width": 96, + "width": 216, "height": 64 } } @@ -4080,79 +3559,33 @@ "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "block": "0c88add0-cbf5-4d14-967b-417400538a29", "port": "out" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "port": "outlabel" - }, - "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "size": 26 - }, - "size": 26 - }, - { - "source": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "size": 26 - }, - "target": { - "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "port": "inlabel" - }, - "size": 26 - }, - { - "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" - }, - "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" - }, - "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "0c88add0-cbf5-4d14-967b-417400538a29" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, - "vertices": [], "size": 26 }, { "source": { - "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", "port": "out" }, "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" }, "size": 26 }, { "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", "port": "in" }, "size": 26 @@ -4161,31 +3594,43 @@ } } }, - "335f07bcdf418bb67136b63c8cfc389233bbc50d": { + "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6": { "package": { - "name": "26-bits-register", - "version": "0.1", - "description": "24-bits system register", + "name": "26-Sys-reg", + "version": "0.8", + "description": "26-Sys-reg: 26 bits system register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", + "id": "e1a94202-b953-492e-98ef-7f33548e273b", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "nc" }, "position": { - "x": 88, - "y": 192 - } + "x": 968, + "y": 48 + } + }, + { + "id": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 384, + "y": 88 + } }, { - "id": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "id": "adfb2cfe-8521-4f06-ac72-3d200ba84185", "type": "basic.output", "data": { "name": "", @@ -4193,12 +3638,12 @@ "size": 26 }, "position": { - "x": 640, - "y": 248 + "x": 968, + "y": 144 } }, { - "id": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "id": "554e0534-d5da-4c8a-a78e-182a3698839e", "type": "basic.input", "data": { "name": "", @@ -4207,12 +3652,12 @@ "size": 26 }, "position": { - "x": 88, - "y": 296 + "x": 376, + "y": 200 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", "type": "basic.constant", "data": { "name": "", @@ -4220,20 +3665,14 @@ "local": false }, "position": { - "x": 376, - "y": 56 + "x": 664, + "y": -48 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "a488e8ac-cd04-4656-9880-218437a4aba0", "type": "basic.code", "data": { - "code": "localparam N = 26;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], "ports": { "in": [ { @@ -4252,187 +3691,64 @@ "size": 26 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 26;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n\n" }, "position": { - "x": 280, - "y": 176 + "x": 536, + "y": 64 }, "size": { - "width": 288, - "height": 200 + "width": 352, + "height": 224 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", "port": "INI" - }, - "vertices": [] - }, - { - "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" } }, { "source": { - "block": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "port": "out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 26 - }, - { - "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", "port": "q" }, "target": { - "block": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "block": "adfb2cfe-8521-4f06-ac72-3d200ba84185", "port": "in" }, "size": 26 - } - ] - } - } - }, - "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { - "package": { - "name": "sum-2op-26bits", - "version": "0.1", - "description": "24-bits adder with two operands", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "0c88add0-cbf5-4d14-967b-417400538a29", - "type": "basic.input", - "data": { - "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 - }, - "position": { - "x": 192, - "y": 144 - } }, - { - "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "type": "basic.output", - "data": { - "name": "", - "range": "[25:0]", - "size": 26 - }, - "position": { - "x": 672, - "y": 192 - } - }, - { - "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", - "type": "basic.input", - "data": { - "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 - }, - "position": { - "x": 192, - "y": 256 - } - }, - { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", - "type": "basic.code", - "data": { - "code": "assign s = a + b;", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[25:0]", - "size": 26 - }, - { - "name": "b", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "s", - "range": "[25:0]", - "size": 26 - } - ] - } - }, - "position": { - "x": 384, - "y": 192 - }, - "size": { - "width": 216, - "height": 64 - } - } - ], - "wires": [ { "source": { - "block": "0c88add0-cbf5-4d14-967b-417400538a29", + "block": "ddb7faf8-5783-464a-806d-e7cb3e38683b", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 26 + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "clk" + } }, { "source": { - "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "block": "554e0534-d5da-4c8a-a78e-182a3698839e", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 26 - }, - { - "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" - }, - "target": { - "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "port": "in" + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "d" }, "size": 26 } @@ -4440,10 +3756,10 @@ } } }, - "772f42d85230a43faf163474e79bd25bdd1f2aba": { + "a7499d579ce034fce2c6c201134ee0acbd4232cd": { "package": { - "name": "24-bits-accumulator CLONE", - "version": "0.1", + "name": "24-bits-accumulator", + "version": "0.2", "description": "24-bits accumulator", "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", @@ -4469,15 +3785,7 @@ "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { "x": 192, @@ -4530,130 +3838,8 @@ "data": { "name": "next", "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "blockColor": "fuchsia", + "size": 24 }, "position": { "x": 824, @@ -4699,8 +3885,8 @@ } }, { - "id": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "type": "c71699e00fcda7699d0368eb0de247bbddbcd395", + "id": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "type": "750d007793329bb1f20002d08e38074d9bdd4f76", "position": { "x": 448, "y": 240 @@ -4729,8 +3915,8 @@ "port": "outlabel" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" } }, { @@ -4751,16 +3937,16 @@ "port": "outlabel" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "3f7decb3-4770-4665-84bd-ab3cd838189f", + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb", "size": 24 }, "size": 24 }, { "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, "target": { "block": "a65b6302-05d5-4df6-b308-44ec4b939901", @@ -4770,8 +3956,8 @@ }, { "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" }, "target": { "block": "bf631fb2-9575-442d-ae82-71dd0be21888", @@ -4785,8 +3971,8 @@ "port": "out" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" } }, { @@ -5379,31 +4565,32 @@ } } }, - "c71699e00fcda7699d0368eb0de247bbddbcd395": { + "750d007793329bb1f20002d08e38074d9bdd4f76": { "package": { - "name": "Registro-24", - "version": "0.1-c1588350420371", - "description": "Registro de 24 bits", + "name": "24-Reg", + "version": "0.8", + "description": "24-Reg: 24 bits Register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "c39743c3-1b76-483c-8613-15f2782d0809", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 80, - "y": 176 + "x": 568, + "y": 144 } }, { - "id": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", "type": "basic.output", "data": { "name": "", @@ -5411,12 +4598,12 @@ "size": 24 }, "position": { - "x": 632, - "y": 248 + "x": 1136, + "y": 240 } }, { - "id": "3f7decb3-4770-4665-84bd-ab3cd838189f", + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", "type": "basic.input", "data": { "name": "", @@ -5425,24 +4612,24 @@ "size": 24 }, "position": { - "x": 80, - "y": 248 + "x": 568, + "y": 240 } }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", "type": "basic.input", "data": { "name": "load", "clock": false }, "position": { - "x": 80, - "y": 312 + "x": 568, + "y": 328 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", "type": "basic.constant", "data": { "name": "", @@ -5450,20 +4637,14 @@ "local": false }, "position": { - "x": 376, - "y": 56 + "x": 848, + "y": 24 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", "type": "basic.code", "data": { - "code": "localparam N = 24;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], "ports": { "in": [ { @@ -5485,69 +4666,76 @@ "size": 24 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 24;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" }, "position": { - "x": 280, - "y": 176 + "x": 728, + "y": 128 }, "size": { - "width": 288, - "height": 200 + "width": 328, + "height": 280 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", "port": "INI" }, "vertices": [] }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", + "block": "c39743c3-1b76-483c-8613-15f2782d0809", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" - } + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" + }, + "vertices": [] }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "f1022de4-0225-43d7-92d3-451161ee6942", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" }, "target": { - "block": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", - "port": "in" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" }, "size": 24 }, { "source": { - "block": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "port": "out" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", + "port": "in" }, "size": 24 } @@ -5555,2798 +4743,12002 @@ } } }, - "8aafb75b9836728c23e1b5b324baf01016dec3d5": { + "2f025eeee961b5e9fb60884fba021ac354d7248b": { "package": { - "name": "split-8-4", - "version": "0.1", - "description": "Split the 12-bit bus into a 8-bits bus and a 4-bits bus", + "name": "generator-tone-noise", + "version": "0.2", + "description": "tone-noise generator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cstyle%20id=%22style3052%22%3E*%7Bstroke-linecap:square;stroke-linejoin:round%7D%3C/style%3E%3Cpath%20d=%22M0%200v-4%22%20id=%22mcb557df647%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200v4%22%20id=%22mdad270ee8e%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M31.781%2066.406q-7.61%200-11.453-7.5Q16.5%2051.422%2016.5%2036.375q0-14.984%203.828-22.484%203.844-7.5%2011.453-7.5%207.672%200%2011.5%207.5%203.844%207.5%203.844%2022.484%200%2015.047-3.844%2022.531-3.828%207.5-11.5%207.5m0%207.813q12.266%200%2018.735-9.703%206.468-9.688%206.468-28.141%200-18.406-6.468-28.11-6.47-9.687-18.735-9.687-12.25%200-18.718%209.688-6.47%209.703-6.47%2028.109%200%2018.453%206.47%2028.14Q19.53%2074.22%2031.78%2074.22%22%20id=%22BitstreamVeraSans-Roman-30%22/%3E%3Cpath%20d=%22M19.188%208.297h34.421V0H7.33v8.297q5.609%205.812%2015.296%2015.594%209.703%209.797%2012.188%2012.64%204.734%205.313%206.609%209%201.89%203.688%201.89%207.25%200%205.813-4.078%209.469-4.078%203.672-10.625%203.672-4.64%200-9.797-1.61-5.14-1.609-11-4.89v9.969Q13.767%2071.78%2018.938%2073q5.188%201.219%209.485%201.219%2011.328%200%2018.062-5.672%206.735-5.656%206.735-15.125%200-4.5-1.688-8.531-1.672-4.016-6.125-9.485-1.218-1.422-7.765-8.187-6.532-6.766-18.453-18.922%22%20id=%22BitstreamVeraSans-Roman-32%22/%3E%3Cpath%20d=%22M37.797%2064.313L12.89%2025.39h24.906zm-2.594%208.593H47.61V25.391h10.407v-8.203H47.609V0h-9.812v17.188H4.89v9.515z%22%20id=%22BitstreamVeraSans-Roman-34%22/%3E%3Cpath%20d=%22M33.016%2040.375q-6.641%200-10.532-4.547-3.875-4.531-3.875-12.437%200-7.86%203.875-12.438%203.891-4.562%2010.532-4.562%206.64%200%2010.515%204.562%203.875%204.578%203.875%2012.438%200%207.906-3.875%2012.437-3.875%204.547-10.515%204.547m19.578%2030.922v-8.984q-3.719%201.75-7.5%202.671-3.782.938-7.5.938-9.766%200-14.922-6.594-5.14-6.594-5.875-19.922%202.875%204.25%207.219%206.516%204.359%202.266%209.578%202.266%2010.984%200%2017.36-6.672%206.374-6.657%206.374-18.125%200-11.235-6.64-18.032-6.641-6.78-17.672-6.78-12.657%200-19.344%209.687-6.688%209.703-6.688%2028.109%200%2017.281%208.204%2027.563%208.203%2010.28%2022.015%2010.28%203.719%200%207.5-.734t7.89-2.187%22%20id=%22BitstreamVeraSans-Roman-36%22/%3E%3Cpath%20d=%22M31.781%2034.625q-7.031%200-11.062-3.766-4.016-3.765-4.016-10.343%200-6.594%204.016-10.36Q24.75%206.391%2031.78%206.391q7.032%200%2011.078%203.78%204.063%203.798%204.063%2010.345%200%206.578-4.031%2010.343-4.016%203.766-11.11%203.766m-9.86%204.188q-6.343%201.562-9.89%205.906Q8.5%2049.079%208.5%2055.329q0%208.733%206.219%2013.812%206.234%205.078%2017.062%205.078%2010.89%200%2017.094-5.078%206.203-5.079%206.203-13.813%200-6.25-3.547-10.61-3.531-4.343-9.828-5.906%207.125-1.656%2011.094-6.5%203.984-4.828%203.984-11.796%200-10.61-6.468-16.282-6.47-5.656-18.532-5.656-12.047%200-18.531%205.656-6.469%205.672-6.469%2016.282%200%206.968%204%2011.797%204.016%204.843%2011.14%206.5M18.314%2054.39q0-5.657%203.53-8.828%203.548-3.172%209.938-3.172%206.36%200%209.938%203.172%203.593%203.171%203.593%208.828%200%205.672-3.593%208.843-3.578%203.172-9.938%203.172-6.39%200-9.937-3.172-3.532-3.172-3.532-8.843%22%20id=%22BitstreamVeraSans-Roman-38%22/%3E%3Cpath%20d=%22M12.406%208.297h16.11v55.625l-17.532-3.516v8.985l17.438%203.515h9.86V8.296H54.39V0H12.406z%22%20id=%22BitstreamVeraSans-Roman-31%22/%3E%3Cpath%20d=%22M0%200h4%22%20id=%22mc8fcea1516%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200h-4%22%20id=%22m0d5b0a6425%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3CclipPath%20id=%22p7ff5b81e1d%22%3E%3Cpath%20id=%22rect3245%22%20d=%22M72%2043.2h446.4v345.6H72z%22/%3E%3C/clipPath%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ENoise%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.33333%200%200%201.33333%20345.782%20-115.517)%22%20id=%22patch_2%22%3E%3Cpath%20id=%22path3059%22%20d=%22M72%20388.8h446.4V43.2H72z%22%20fill=%22#fff%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.24609%200%200%20.98154%2026.604%20-77.931)%22%20id=%22line2d_1%22%20stroke-width=%22.452%22%3E%3Cpath%20transform=%22matrix(2.40534%200%200%20.3994%20-582.624%2044.725)%22%20id=%22path3062%22%20d=%22M238.061%20221.411l.446-25.199.447%2016.98.446-38.405.446-70.353.447%2060.659.446-51.632.447%20125.76.446-9.78.446%2045.342.893-81.556.447%2042.637.446%2066.129.446-105.65.447%2078.633.446-84.467.447%2012.022.446%2035.765.446-46.881.447%2058.801.446%2026.687.447-22.757.446-34.389.446-46.182.447%2067.387.446-39.893.447%2025.369.446%2047.468.446-43.913.447-25.598.446%2031.379.447-60.838.446%2099.308.446-110.83.893%2061.01.447%207.216.446%2030.342.446-57.516.447-37.35.446%20100.807.447-3.275.446-25.79.446-40.216.447%203.726.446-16.955.447-27.499.446%2051.416.446-62.516.447%2090.441.446-43.834.447%2066.712.446-118.862.446%2030.9.447%2013.315.446%207.64.447%2074.164.446%2022.814.893-56.159.446-44.743.447-7.329.446%2045.909.446%2014.122.447%203.403.446%207.966.447-89.663.446%2091.88.446-103.879.447%20139.778.446-29.272.447%2019.93.446-98.4.446%203.982.893%20108.281.447-29.99.446-145.837.446%2043.054.447%2019.359.446%2066.886.447-2.853.446-11.105.446-77.793.447%2033.052.446-10.708.893%2084.872.446%205.914.447-29.148.446-47.477.447%2066.745.446%207.899.446-63.47.447%2012.928.446-67.294.447-1.957.446%20159.016.446-172.724.447%2071.177.446-29.401.447%2062.547.446%2010.469.446%2020.344.447-10.05.446-56.45.447%2010.337.446%2030.162.446-45.847.447-66.028.446-1.779.447%2083.282.446-123.191.446%20115.479.447-84.859.446-17.071.447%20165.079.446-64.183.446%2048.302.447-73.319.446%2034.263.447-88.671.446%2049.2.893%2045.432.446%2056.226.447-70.466.446-46.454.446%20125.494.447-74.601.446-9.101.447%2058.65.446-50.294.446-13.647.447-19.783.446%2012.191%22%20clip-path=%22url(#p7ff5b81e1d)%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.461%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1588504190451 }, "design": { "graph": { "blocks": [ { - "id": "afe50480-6fe5-4ca6-b639-c730569a6a1d", - "type": "basic.output", + "id": "f508b512-a1ab-42e5-878f-3690dfe8ddac", + "type": "basic.input", "data": { - "name": "o1", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "", + "clock": true }, "position": { - "x": 552, - "y": 176 + "x": 664, + "y": 640 } }, { - "id": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", - "type": "basic.input", + "id": "dd369695-00c2-4906-8a41-14acdc9b1408", + "type": "basic.inputLabel", "data": { - "name": "i", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 56, - "y": 200 + "x": 808, + "y": 640 } }, { - "id": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", - "type": "basic.output", + "id": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", + "type": "basic.inputLabel", "data": { - "name": "o0", - "range": "[3:0]", - "pins": [ - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "blockColor": "fuchsia", + "name": "r20", + "oldBlockColor": "fuchsia" }, "position": { - "x": 552, - "y": 232 + "x": 1976, + "y": 736 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "fe4665a9-f28c-4182-9208-5b8944e11d63", + "type": "basic.outputLabel", "data": { - "code": "assign o1 = i[11:4];\nassign o0 = i[3:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[11:0]", - "size": 12 - } - ], - "out": [ - { - "name": "o1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "o0", - "range": "[3:0]", - "size": 4 - } - ] - } + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, "position": { - "x": 224, - "y": 176 - }, - "size": { - "width": 256, - "height": 112 + "x": 1672, + "y": 736 } - } - ], - "wires": [ - { - "source": { - "block": "b74e8bd1-391c-4901-bd03-d3616d2c1d5b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 12 }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "afe50480-6fe5-4ca6-b639-c730569a6a1d", - "port": "in" + "id": "1863b72e-5766-46ac-8069-2a95a616dcc8", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r11", + "oldBlockColor": "fuchsia" }, - "size": 8 + "position": { + "x": 2456, + "y": 736 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "e475bacd-fa13-45c4-b9ab-f73c36c970f1", - "port": "in" + "id": "a73bd436-5168-495f-818e-238ff938574c", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": 4 - } - ] - } - } - }, - "a2dee03e657a227300f2452e83621f3d51dde843": { - "package": { - "name": "generator-tone-triangle", - "version": "0.1", - "description": "tone-triangle generator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ETriang.%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413l38.981%2065.276%2042.952-65.276%2042.387%2065.276%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", - "otid": 1588504190451 - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 2160, + "y": 736 + } + }, { - "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "type": "basic.output", + "id": "71764031-997f-41ff-9a58-d822d28927dc", + "type": "basic.outputLabel", "data": { - "name": "sample", - "range": "[11:0]", - "size": 12 + "blockColor": "fuchsia", + "name": "r22" }, "position": { - "x": 1424, - "y": 464 + "x": 2704, + "y": 744 } }, { - "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "type": "basic.input", + "id": "06af9306-8956-41b0-a33b-a50ee3cb8ece", + "type": "basic.outputLabel", "data": { - "name": "phase", - "range": "[23:0]", - "clock": false, - "size": 24 + "blockColor": "fuchsia", + "name": "r20", + "oldBlockColor": "fuchsia" }, "position": { - "x": 328, - "y": 608 + "x": 2704, + "y": 800 } }, { - "id": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "type": "770c28026476212f3ed069d6ca576d596bfda158", - "position": { - "x": 504, - "y": 608 + "id": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1192, + "y": 816 } }, { - "id": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "type": "ca1820001823848c6dc78e51cc1fbb0428844784", - "position": { - "x": 672, - "y": 656 + "id": "d0ac1354-2a1a-41ef-b3f0-870814de248a", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r22" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1536, + "y": 848 } }, { - "id": "5d537c32-ed34-4830-8226-c5c7453e56be", - "type": "12a890788daaa9c56b17d1359a50f5b901ed904e", - "position": { - "x": 848, - "y": 376 + "id": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r16", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2704, + "y": 856 } }, { - "id": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "type": "c4c55ef0789d51deb758cb3be04a41176645f300", - "position": { - "x": 1048, - "y": 432 + "id": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r22" }, - "size": { - "width": 96, - "height": 96 + "position": { + "x": 1040, + "y": 896 } }, { - "id": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "type": "d4c82efb5b8421e49ac9b858ba26d2ae330d410a", - "position": { - "x": 1240, - "y": 464 + "id": "aef43116-9ab7-4175-9828-cbf27b985d7f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r13", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2704, + "y": 904 } }, { - "id": "fd58c545-9948-4421-87c1-8ee1066a801c", - "type": "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542", - "position": { - "x": 1096, - "y": 552 + "id": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r7", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2448, + "y": 920 } - } - ], - "wires": [ + }, { - "source": { - "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "port": "b64bd4de-2f3d-447f-b060-266885b19807" - }, - "target": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "dbd6fff4-5a1d-4732-b053-638352f8b401" + "id": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "vertices": [], - "size": 23 + "position": { + "x": 2152, + "y": 920 + } }, { - "source": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" - }, - "target": { - "block": "5d537c32-ed34-4830-8226-c5c7453e56be", - "port": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b" + "id": "16abb958-be27-4444-9f6b-84ebc4b62e78", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r17", + "oldBlockColor": "fuchsia" }, - "vertices": [], - "size": 11 + "position": { + "x": 1968, + "y": 928 + } }, { - "source": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "a2157279-c57a-4afc-b8f7-09d3dfe4031d" + "id": "3945ce11-9f6e-41a3-a20b-999e25714702", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "vertices": [ - { - "x": 832, - "y": 568 - } - ], - "size": 11 + "position": { + "x": 1672, + "y": 928 + } }, { - "source": { - "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" + "id": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", + "type": "basic.inputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "vertices": [] + "position": { + "x": 1536, + "y": 944 + } }, { - "source": { - "block": "5d537c32-ed34-4830-8226-c5c7453e56be", - "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17" + "id": "3cdd862d-2200-4845-8f2d-13185122451b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r17", + "oldBlockColor": "fuchsia" }, - "vertices": [], - "size": 11 + "position": { + "x": 1040, + "y": 960 + } }, { - "source": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "9475475f-e8c6-4e95-8f49-3bb3465377ef" - }, - "target": { - "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "port": "7dd3ca07-cf28-42b5-99cf-f85078c1c448" + "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 }, - "vertices": [], - "size": 11 + "position": { + "x": 3272, + "y": 976 + } }, { - "source": { - "block": "fd58c545-9948-4421-87c1-8ee1066a801c", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" - }, - "target": { - "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "port": "838102af-d39e-4d6b-be4d-558ffe297b05" + "id": "bdbadc17-4209-46a6-807f-d3d02d165e2b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r11", + "oldBlockColor": "fuchsia" }, - "vertices": [] + "position": { + "x": 2704, + "y": 976 + } }, { - "source": { - "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "port": "out" - }, - "target": { - "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + "id": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r7", + "oldBlockColor": "fuchsia" }, - "size": 24 + "position": { + "x": 2704, + "y": 1032 + } }, { - "source": { - "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" - }, - "target": { - "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "port": "in" + "id": "e6b47afc-9465-4141-9e78-343c0abc087e", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "size": 12 - } - ] - } - } - }, - "770c28026476212f3ed069d6ca576d596bfda158": { - "package": { - "name": "split-1-23", - "version": "0.1-c1588489478854", - "description": "split a 24-bit bus into 1 wire and a 23-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 896, + "y": 1032 + } + }, { - "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "type": "basic.output", + "id": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", + "type": "basic.outputLabel", "data": { - "name": "o1" + "blockColor": "fuchsia", + "name": "r4", + "oldBlockColor": "fuchsia" }, "position": { - "x": 584, - "y": 128 + "x": 2704, + "y": 1088 } }, { - "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "type": "basic.input", + "id": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", + "type": "basic.inputLabel", "data": { - "name": "i", - "range": "[23:0]", - "clock": false, - "size": 24 + "blockColor": "fuchsia", + "name": "r16", + "oldBlockColor": "fuchsia" }, "position": { - "x": 64, - "y": 200 + "x": 1968, + "y": 1104 } }, { - "id": "b64bd4de-2f3d-447f-b060-266885b19807", - "type": "basic.output", + "id": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", + "type": "basic.outputLabel", "data": { - "name": "o0", + "name": "r", "range": "[22:0]", + "blockColor": "fuchsia", "size": 23 }, "position": { - "x": 584, - "y": 264 + "x": 1672, + "y": 1104 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "5b363406-eaee-4af4-895c-662fa714c7f4", + "type": "basic.inputLabel", "data": { - "code": "assign o1 = i[23];\nassign o0 = i[22:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[23:0]", - "size": 24 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[22:0]", - "size": 23 - } - ] - } + "blockColor": "fuchsia", + "name": "r4", + "oldBlockColor": "fuchsia" }, "position": { - "x": 224, - "y": 176 - }, - "size": { - "width": 256, - "height": 112 + "x": 2448, + "y": 1104 } - } - ], - "wires": [ + }, { - "source": { - "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "port": "out" + "id": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "position": { + "x": 2152, + "y": 1104 + } + }, + { + "id": "c0405b9f-e8dd-4770-ab15-300719263cdc", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r2", + "oldBlockColor": "fuchsia" }, - "size": 24 + "position": { + "x": 2704, + "y": 1144 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "target": { - "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "port": "in" + "position": { + "x": 720, + "y": 1224 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "8bc11e40-8b3d-4246-9941-2d442b68b65f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r13", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "b64bd4de-2f3d-447f-b060-266885b19807", - "port": "in" + "position": { + "x": 1976, + "y": 1280 + } + }, + { + "id": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": 23 - } - ] - } - } - }, - "ca1820001823848c6dc78e51cc1fbb0428844784": { - "package": { - "name": "split-11-12", - "version": "0.1", - "description": "Split a 23-bit bus into one of 11-bits and another of 12-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 1680, + "y": 1280 + } + }, { - "id": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", - "type": "basic.output", + "id": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", + "type": "basic.inputLabel", "data": { - "name": "o1", - "range": "[10:0]", - "pins": [ - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "blockColor": "fuchsia", + "name": "r2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 576, - "y": 176 + "x": 2448, + "y": 1288 } }, { - "id": "dbd6fff4-5a1d-4732-b053-638352f8b401", - "type": "basic.input", + "id": "71d17b9b-694b-4637-8a2e-669a8740abbf", + "type": "basic.outputLabel", "data": { - "name": "i", + "name": "r", "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "blockColor": "fuchsia", + "size": 23 }, "position": { - "x": 56, - "y": 200 + "x": 2152, + "y": 1288 } }, { - "id": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", - "type": "basic.output", + "id": "33ebd859-d504-4139-90e0-9f8707c014f3", + "type": "basic.constant", "data": { - "name": "o0", - "range": "[11:0]", - "size": 12, - "virtual": false + "name": "", + "value": "19", + "local": true }, "position": { - "x": 576, - "y": 232 + "x": 888, + "y": 1128 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "6aa6538e-d255-4da0-b455-929e85b53e5f", + "type": "basic.constant", "data": { - "code": "assign o1 = i[22:12];\nassign o0 = i[11:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[22:0]", - "size": 23 - } - ], - "out": [ - { - "name": "o1", - "range": "[10:0]", - "size": 11 - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 - } - ] - } + "name": "", + "value": "23'b01101110010010000101011", + "local": true }, "position": { - "x": 224, - "y": 176 - }, - "size": { - "width": 256, - "height": 112 + "x": 1360, + "y": 792 } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", - "port": "in" - }, - "size": 12 }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", - "port": "in" + "id": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", + "type": "basic.constant", + "data": { + "name": "", + "value": "17", + "local": true }, - "size": 11 + "position": { + "x": 1824, + "y": 832 + } }, { - "source": { - "block": "dbd6fff4-5a1d-4732-b053-638352f8b401", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "id": "01418e00-852b-43a9-948d-713721b5f4e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "16", + "local": true }, - "size": 23 - } - ] - } - } - }, - "12a890788daaa9c56b17d1359a50f5b901ed904e": { - "package": { - "name": "NOT-11bits", - "version": "1.0.3-", - "description": "11-bits bus not gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "position": { + "x": 1824, + "y": 1008 + } + }, { - "id": "0c3c02a5-0dad-4813-94cf-49997cf44c82", - "type": "basic.output", + "id": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", + "type": "basic.constant", "data": { "name": "", - "range": "[10:0]", - "pins": [ - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "value": "13", + "local": true }, "position": { - "x": 736, - "y": 144 + "x": 1832, + "y": 1184 } }, { - "id": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", - "type": "basic.input", + "id": "3c45c485-1262-499b-a0f0-73c5235a5aeb", + "type": "basic.constant", "data": { "name": "", - "range": "[10:0]", - "pins": [ - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "value": "20", + "local": true }, "position": { - "x": 80, - "y": 144 + "x": 1832, + "y": 640 } }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", + "id": "94926ff6-27ff-467e-8804-a3618fefeb05", + "type": "basic.constant", "data": { - "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[10:0]", - "size": 11 - } - ], - "out": [ - { - "name": "c", - "range": "[10:0]", - "size": 11 - } - ] - } + "name": "", + "value": "7", + "local": true }, "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 400, - "height": 256 + "x": 2304, + "y": 824 } - } - ], - "wires": [ - { - "source": { - "block": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - }, - "size": 11 }, { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "c" - }, - "target": { - "block": "0c3c02a5-0dad-4813-94cf-49997cf44c82", - "port": "in" - }, - "size": 11 - } - ] - } - } - }, - "c4c55ef0789d51deb758cb3be04a41176645f300": { - "package": { - "name": "11bits-2-1-Mux", - "version": "0.1", - "description": "11 bits, 2-1 Multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", - "type": "basic.input", + "id": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", + "type": "basic.constant", "data": { - "name": "i0", - "range": "[10:0]", - "clock": false, - "size": 11 + "name": "", + "value": "4", + "local": true }, "position": { - "x": -648, - "y": -88 + "x": 2304, + "y": 1008 } }, { - "id": "9475475f-e8c6-4e95-8f49-3bb3465377ef", - "type": "basic.output", + "id": "2f1d0634-4943-4991-92c6-5e74879f70ad", + "type": "basic.constant", "data": { "name": "", - "range": "[10:0]", - "size": 11 + "value": "2", + "local": true }, "position": { - "x": -88, - "y": 0 + "x": 2304, + "y": 1192 } }, { - "id": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", - "type": "basic.input", + "id": "fa3cedd1-efc5-4464-a07c-11e1248c4283", + "type": "basic.constant", "data": { - "name": "i1", - "range": "[10:0]", - "clock": false, - "size": 11 + "name": "", + "value": "11", + "local": true }, "position": { - "x": -656, - "y": 0 + "x": 2312, + "y": 640 } }, { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "type": "basic.input", - "data": { - "name": "sel", - "clock": false - }, + "id": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "type": "832c8ef4212d370059da69837d54be8864cbca2b", "position": { - "x": -656, - "y": 88 + "x": 888, + "y": 1224 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "type": "basic.code", - "data": { - "code": "\nreg [10:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[10:0]", - "size": 11 - }, - { - "name": "i0", - "range": "[10:0]", - "size": 11 - }, - { - "name": "sel" - } - ], - "out": [ - { - "name": "o", - "range": "[10:0]", - "size": 11 - } - ] - } - }, + "id": "c3df28c2-037d-415d-86f6-1addcca9705d", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", "position": { - "x": -464, - "y": -104 + "x": 1824, + "y": 928 }, "size": { - "width": 304, - "height": 272 + "width": 96, + "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "port": "out" + "id": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", + "position": { + "x": 1200, + "y": 912 }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", - "port": "out" - }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" + "id": "9f1650f4-b36d-474e-806b-8acd57c50072", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1832, + "y": 736 }, - "size": 11 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", - "port": "out" + "id": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1824, + "y": 1104 }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 11 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "id": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1832, + "y": 1280 }, - "target": { - "block": "9475475f-e8c6-4e95-8f49-3bb3465377ef", - "port": "in" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2312, + "y": 736 }, - "size": 11 - } - ] - } - } - }, - "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { - "package": { - "name": "Join-11-1", - "version": "0.0.1", - "description": "Join an 11-bits bus and a 1-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + "size": { + "width": 96, + "height": 64 + } + }, { - "id": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", - "type": "basic.input", - "data": { - "name": "i1", - "range": "[10:0]", - "clock": false, - "size": 11 + "id": "129f2a60-0728-472b-983e-7dd2edddc301", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2304, + "y": 920 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", "position": { - "x": 160, - "y": 240 + "x": 2304, + "y": 1104 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", - "type": "basic.output", - "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "id": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2304, + "y": 1288 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "type": "7263b9b45cf45542cb1e2badb21718e15c151ca5", "position": { - "x": 704, - "y": 288 + "x": 2904, + "y": 864 + }, + "size": { + "width": 96, + "height": 256 } }, { - "id": "838102af-d39e-4d6b-be4d-558ffe297b05", - "type": "basic.input", - "data": { - "name": "i0", - "clock": false + "id": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", + "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", + "position": { + "x": 2936, + "y": 1160 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", "position": { - "x": 152, - "y": 336 + "x": 3104, + "y": 976 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", - "type": "basic.code", - "data": { - "code": "assign o = {i1,i0};\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[10:0]", - "size": 11 - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[11:0]", - "size": 12 - } - ] - } + "id": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1064, + "y": 1208 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "type": "7a11921b0d0ebdd41ebb33ea6eb263c988ac39da", "position": { - "x": 328, - "y": 224 + "x": 1360, + "y": 904 }, "size": { - "width": 288, - "height": 192 + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "o" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 23 }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", - "port": "in" + "block": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", + "port": "inlabel" }, - "size": 12 + "vertices": [], + "size": 23 }, { "source": { - "block": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", - "port": "out" + "block": "c3df28c2-037d-415d-86f6-1addcca9705d", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" + "block": "16abb958-be27-4444-9f6b-84ebc4b62e78", + "port": "inlabel" }, - "size": 11 + "vertices": [] }, { "source": { - "block": "838102af-d39e-4d6b-be4d-558ffe297b05", - "port": "out" + "block": "3945ce11-9f6e-41a3-a20b-999e25714702", + "port": "outlabel" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" - } - } - ] - } - } - }, - "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { - "package": { - "name": "0", - "version": "0.1", - "description": "Un bit constante a 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" + "block": "c3df28c2-037d-415d-86f6-1addcca9705d", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 }, - "position": { - "x": 512, - "y": 160 - } + "vertices": [], + "size": 23 }, { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", + "port": "outlabel" }, - "position": { - "x": 168, - "y": 112 + "target": { + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "size": { - "width": 256, - "height": 160 - } - } - ], - "wires": [ + "vertices": [] + }, { "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" + "block": "3cdd862d-2200-4845-8f2d-13185122451b", + "port": "outlabel" }, "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } - } - ] - } - } - }, - "2ad44bfc5c8570b7f41d1d408057a9eb8229e7ff": { - "package": { - "name": "generator-tone-noise", - "version": "0.1", - "description": "tone-noise generator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cstyle%20id=%22style3052%22%3E*%7Bstroke-linecap:square;stroke-linejoin:round%7D%3C/style%3E%3Cpath%20d=%22M0%200v-4%22%20id=%22mcb557df647%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200v4%22%20id=%22mdad270ee8e%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M31.781%2066.406q-7.61%200-11.453-7.5Q16.5%2051.422%2016.5%2036.375q0-14.984%203.828-22.484%203.844-7.5%2011.453-7.5%207.672%200%2011.5%207.5%203.844%207.5%203.844%2022.484%200%2015.047-3.844%2022.531-3.828%207.5-11.5%207.5m0%207.813q12.266%200%2018.735-9.703%206.468-9.688%206.468-28.141%200-18.406-6.468-28.11-6.47-9.687-18.735-9.687-12.25%200-18.718%209.688-6.47%209.703-6.47%2028.109%200%2018.453%206.47%2028.14Q19.53%2074.22%2031.78%2074.22%22%20id=%22BitstreamVeraSans-Roman-30%22/%3E%3Cpath%20d=%22M19.188%208.297h34.421V0H7.33v8.297q5.609%205.812%2015.296%2015.594%209.703%209.797%2012.188%2012.64%204.734%205.313%206.609%209%201.89%203.688%201.89%207.25%200%205.813-4.078%209.469-4.078%203.672-10.625%203.672-4.64%200-9.797-1.61-5.14-1.609-11-4.89v9.969Q13.767%2071.78%2018.938%2073q5.188%201.219%209.485%201.219%2011.328%200%2018.062-5.672%206.735-5.656%206.735-15.125%200-4.5-1.688-8.531-1.672-4.016-6.125-9.485-1.218-1.422-7.765-8.187-6.532-6.766-18.453-18.922%22%20id=%22BitstreamVeraSans-Roman-32%22/%3E%3Cpath%20d=%22M37.797%2064.313L12.89%2025.39h24.906zm-2.594%208.593H47.61V25.391h10.407v-8.203H47.609V0h-9.812v17.188H4.89v9.515z%22%20id=%22BitstreamVeraSans-Roman-34%22/%3E%3Cpath%20d=%22M33.016%2040.375q-6.641%200-10.532-4.547-3.875-4.531-3.875-12.437%200-7.86%203.875-12.438%203.891-4.562%2010.532-4.562%206.64%200%2010.515%204.562%203.875%204.578%203.875%2012.438%200%207.906-3.875%2012.437-3.875%204.547-10.515%204.547m19.578%2030.922v-8.984q-3.719%201.75-7.5%202.671-3.782.938-7.5.938-9.766%200-14.922-6.594-5.14-6.594-5.875-19.922%202.875%204.25%207.219%206.516%204.359%202.266%209.578%202.266%2010.984%200%2017.36-6.672%206.374-6.657%206.374-18.125%200-11.235-6.64-18.032-6.641-6.78-17.672-6.78-12.657%200-19.344%209.687-6.688%209.703-6.688%2028.109%200%2017.281%208.204%2027.563%208.203%2010.28%2022.015%2010.28%203.719%200%207.5-.734t7.89-2.187%22%20id=%22BitstreamVeraSans-Roman-36%22/%3E%3Cpath%20d=%22M31.781%2034.625q-7.031%200-11.062-3.766-4.016-3.765-4.016-10.343%200-6.594%204.016-10.36Q24.75%206.391%2031.78%206.391q7.032%200%2011.078%203.78%204.063%203.798%204.063%2010.345%200%206.578-4.031%2010.343-4.016%203.766-11.11%203.766m-9.86%204.188q-6.343%201.562-9.89%205.906Q8.5%2049.079%208.5%2055.329q0%208.733%206.219%2013.812%206.234%205.078%2017.062%205.078%2010.89%200%2017.094-5.078%206.203-5.079%206.203-13.813%200-6.25-3.547-10.61-3.531-4.343-9.828-5.906%207.125-1.656%2011.094-6.5%203.984-4.828%203.984-11.796%200-10.61-6.468-16.282-6.47-5.656-18.532-5.656-12.047%200-18.531%205.656-6.469%205.672-6.469%2016.282%200%206.968%204%2011.797%204.016%204.843%2011.14%206.5M18.314%2054.39q0-5.657%203.53-8.828%203.548-3.172%209.938-3.172%206.36%200%209.938%203.172%203.593%203.171%203.593%208.828%200%205.672-3.593%208.843-3.578%203.172-9.938%203.172-6.39%200-9.937-3.172-3.532-3.172-3.532-8.843%22%20id=%22BitstreamVeraSans-Roman-38%22/%3E%3Cpath%20d=%22M12.406%208.297h16.11v55.625l-17.532-3.516v8.985l17.438%203.515h9.86V8.296H54.39V0H12.406z%22%20id=%22BitstreamVeraSans-Roman-31%22/%3E%3Cpath%20d=%22M0%200h4%22%20id=%22mc8fcea1516%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200h-4%22%20id=%22m0d5b0a6425%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3CclipPath%20id=%22p7ff5b81e1d%22%3E%3Cpath%20id=%22rect3245%22%20d=%22M72%2043.2h446.4v345.6H72z%22/%3E%3C/clipPath%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ENoise%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.33333%200%200%201.33333%20345.782%20-115.517)%22%20id=%22patch_2%22%3E%3Cpath%20id=%22path3059%22%20d=%22M72%20388.8h446.4V43.2H72z%22%20fill=%22#fff%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.24609%200%200%20.98154%2026.604%20-77.931)%22%20id=%22line2d_1%22%20stroke-width=%22.452%22%3E%3Cpath%20transform=%22matrix(2.40534%200%200%20.3994%20-582.624%2044.725)%22%20id=%22path3062%22%20d=%22M238.061%20221.411l.446-25.199.447%2016.98.446-38.405.446-70.353.447%2060.659.446-51.632.447%20125.76.446-9.78.446%2045.342.893-81.556.447%2042.637.446%2066.129.446-105.65.447%2078.633.446-84.467.447%2012.022.446%2035.765.446-46.881.447%2058.801.446%2026.687.447-22.757.446-34.389.446-46.182.447%2067.387.446-39.893.447%2025.369.446%2047.468.446-43.913.447-25.598.446%2031.379.447-60.838.446%2099.308.446-110.83.893%2061.01.447%207.216.446%2030.342.446-57.516.447-37.35.446%20100.807.447-3.275.446-25.79.446-40.216.447%203.726.446-16.955.447-27.499.446%2051.416.446-62.516.447%2090.441.446-43.834.447%2066.712.446-118.862.446%2030.9.447%2013.315.446%207.64.447%2074.164.446%2022.814.893-56.159.446-44.743.447-7.329.446%2045.909.446%2014.122.447%203.403.446%207.966.447-89.663.446%2091.88.446-103.879.447%20139.778.446-29.272.447%2019.93.446-98.4.446%203.982.893%20108.281.447-29.99.446-145.837.446%2043.054.447%2019.359.446%2066.886.447-2.853.446-11.105.446-77.793.447%2033.052.446-10.708.893%2084.872.446%205.914.447-29.148.446-47.477.447%2066.745.446%207.899.446-63.47.447%2012.928.446-67.294.447-1.957.446%20159.016.446-172.724.447%2071.177.446-29.401.447%2062.547.446%2010.469.446%2020.344.447-10.05.446-56.45.447%2010.337.446%2030.162.446-45.847.447-66.028.446-1.779.447%2083.282.446-123.191.446%20115.479.447-84.859.446-17.071.447%20165.079.446-64.183.446%2048.302.447-73.319.446%2034.263.447-88.671.446%2049.2.893%2045.432.446%2056.226.447-70.466.446-46.454.446%20125.494.447-74.601.446-9.101.447%2058.65.446-50.294.446-13.647.447-19.783.446%2012.191%22%20clip-path=%22url(#p7ff5b81e1d)%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.461%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588504190451 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "f508b512-a1ab-42e5-878f-3690dfe8ddac", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, - "position": { - "x": 664, - "y": 640 - } + "vertices": [] }, { - "id": "dd369695-00c2-4906-8a41-14acdc9b1408", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "source": { + "block": "9f1650f4-b36d-474e-806b-8acd57c50072", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 808, - "y": 640 - } + "target": { + "block": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r20", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "fe4665a9-f28c-4182-9208-5b8944e11d63", + "port": "outlabel" }, - "position": { - "x": 1976, - "y": 736 - } + "target": { + "block": "9f1650f4-b36d-474e-806b-8acd57c50072", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "fe4665a9-f28c-4182-9208-5b8944e11d63", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "source": { + "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 1672, - "y": 736 - } + "target": { + "block": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "1863b72e-5766-46ac-8069-2a95a616dcc8", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r11", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", + "port": "outlabel" }, - "position": { - "x": 2456, - "y": 736 - } + "target": { + "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "a73bd436-5168-495f-818e-238ff938574c", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "source": { + "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 2160, - "y": 736 - } - }, - { - "id": "71764031-997f-41ff-9a58-d822d28927dc", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r22", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "target": { + "block": "8bc11e40-8b3d-4246-9941-2d442b68b65f", + "port": "inlabel" }, - "position": { - "x": 2704, - "y": 744 - } + "vertices": [] }, { - "id": "06af9306-8956-41b0-a33b-a50ee3cb8ece", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r20", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", + "port": "outlabel" }, - "position": { - "x": 2704, - "y": 800 - } + "target": { + "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "source": { + "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 1192, - "y": 816 - } + "target": { + "block": "1863b72e-5766-46ac-8069-2a95a616dcc8", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "d0ac1354-2a1a-41ef-b3f0-870814de248a", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r22", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "source": { + "block": "a73bd436-5168-495f-818e-238ff938574c", + "port": "outlabel" }, - "position": { - "x": 1536, - "y": 848 - } + "target": { + "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r16", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "129f2a60-0728-472b-983e-7dd2edddc301", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 2704, - "y": 856 - } + "target": { + "block": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r22", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true + "source": { + "block": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", + "port": "outlabel" }, - "position": { - "x": 1040, - "y": 896 - } + "target": { + "block": "129f2a60-0728-472b-983e-7dd2edddc301", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "aef43116-9ab7-4175-9828-cbf27b985d7f", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r13", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 2704, - "y": 904 - } + "target": { + "block": "5b363406-eaee-4af4-895c-662fa714c7f4", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r7", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", + "port": "outlabel" }, - "position": { - "x": 2448, - "y": 920 - } + "target": { + "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "source": { + "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": 2152, - "y": 920 - } + "target": { + "block": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "16abb958-be27-4444-9f6b-84ebc4b62e78", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r17", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "71d17b9b-694b-4637-8a2e-669a8740abbf", + "port": "outlabel" }, - "position": { - "x": 1968, - "y": 928 - } + "target": { + "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "3945ce11-9f6e-41a3-a20b-999e25714702", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { + "source": { + "block": "71764031-997f-41ff-9a58-d822d28927dc", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + }, + "vertices": [] + }, + { + "source": { + "block": "06af9306-8956-41b0-a33b-a50ee3cb8ece", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + }, + "vertices": [ + { + "x": 2848, + "y": 896 + } + ] + }, + { + "source": { + "block": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + }, + "vertices": [ + { + "x": 2832, + "y": 904 + } + ] + }, + { + "source": { + "block": "aef43116-9ab7-4175-9828-cbf27b985d7f", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + }, + "vertices": [ + { + "x": 2816, + "y": 960 + } + ] + }, + { + "source": { + "block": "bdbadc17-4209-46a6-807f-d3d02d165e2b", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + }, + "vertices": [] + }, + { + "source": { + "block": "c0405b9f-e8dd-4770-ab15-300719263cdc", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + }, + "vertices": [] + }, + { + "source": { + "block": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + }, + "vertices": [] + }, + { + "source": { + "block": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", + "port": "outlabel" + }, + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "42733799-2dd4-429b-bd43-a478067a10a6" + }, + "vertices": [] + }, + { + "source": { + "block": "f508b512-a1ab-42e5-878f-3690dfe8ddac", + "port": "out" + }, + "target": { + "block": "dd369695-00c2-4906-8a41-14acdc9b1408", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "e6b47afc-9465-4141-9e78-343c0abc087e", + "port": "outlabel" + }, + "target": { + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", + "port": "outlabel" + }, + "target": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c" + }, + "target": { + "block": "d0ac1354-2a1a-41ef-b3f0-870814de248a", + "port": "inlabel" + } + }, + { + "source": { + "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" + }, + "target": { + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + }, + "vertices": [] + }, + { + "source": { + "block": "33ebd859-d504-4139-90e0-9f8707c014f3", + "port": "constant-out" + }, + "target": { + "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e" + }, + "vertices": [] + }, + { + "source": { + "block": "6aa6538e-d255-4da0-b455-929e85b53e5f", + "port": "constant-out" + }, + "target": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" + }, + "vertices": [] + }, + { + "source": { + "block": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", + "port": "constant-out" + }, + "target": { + "block": "c3df28c2-037d-415d-86f6-1addcca9705d", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "01418e00-852b-43a9-948d-713721b5f4e7", + "port": "constant-out" + }, + "target": { + "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", + "port": "constant-out" + }, + "target": { + "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "94926ff6-27ff-467e-8804-a3618fefeb05", + "port": "constant-out" + }, + "target": { + "block": "129f2a60-0728-472b-983e-7dd2edddc301", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", + "port": "constant-out" + }, + "target": { + "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "2f1d0634-4943-4991-92c6-5e74879f70ad", + "port": "constant-out" + }, + "target": { + "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9" + }, + "target": { + "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "port": "906137ba-f840-4759-9556-b6a0ec101654" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" + }, + "target": { + "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "3c45c485-1262-499b-a0f0-73c5235a5aeb", + "port": "constant-out" + }, + "target": { + "block": "9f1650f4-b36d-474e-806b-8acd57c50072", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "fa3cedd1-efc5-4464-a07c-11e1248c4283", + "port": "constant-out" + }, + "target": { + "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] + }, + { + "source": { + "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + }, + "target": { + "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "port": "out" + }, + "target": { + "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "port": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70" + }, + "size": 24 + }, + { + "source": { + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "adb65ce3-8256-4acb-ad39-154262efb1ad" + } + } + ] + } + } + }, + "832c8ef4212d370059da69837d54be8864cbca2b": { + "package": { + "name": "Extract-1-bit", + "version": "0.1", + "description": "Extract 1 bit from a 24-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "352fe87a-e310-4225-9c82-86adf05aade8", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 968, + "y": 296 + } + }, + { + "id": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "pins": [ + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 504, + "y": 296 + } + }, + { + "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 744, + "y": 184 + } + }, + { + "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "type": "basic.code", + "data": { + "code": "assign o = i[BIT];", + "params": [ + { + "name": "BIT" + } + ], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o" + } + ] + } + }, + "position": { + "x": 672, + "y": 296 + }, + "size": { + "width": 232, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "port": "constant-out" + }, + "target": { + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "BIT" + }, + "vertices": [] + }, + { + "source": { + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "o" + }, + "target": { + "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "port": "in" + } + }, + { + "source": { + "block": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", + "port": "out" + }, + "target": { + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "i" + }, + "size": 24 + } + ] + } + } + }, + "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6": { + "package": { + "name": "Extract-1-bit", + "version": "0.1", + "description": "Extract 1 bit from a 23-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "352fe87a-e310-4225-9c82-86adf05aade8", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 968, + "y": 296 + } + }, + { + "id": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "type": "basic.input", + "data": { + "name": "", + "range": "[22:0]", + "pins": [ + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 512, + "y": 296 + } + }, + { + "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 744, + "y": 184 + } + }, + { + "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "type": "basic.code", + "data": { + "code": "assign o = i[BIT];", + "params": [ + { + "name": "BIT" + } + ], + "ports": { + "in": [ + { + "name": "i", + "range": "[22:0]", + "size": 23 + } + ], + "out": [ + { + "name": "o" + } + ] + } + }, + "position": { + "x": 672, + "y": 296 + }, + "size": { + "width": 232, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "port": "constant-out" + }, + "target": { + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "BIT" + }, + "vertices": [] + }, + { + "source": { + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "o" + }, + "target": { + "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "port": "in" + } + }, + { + "source": { + "block": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "port": "out" + }, + "target": { + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "i" + }, + "size": 23 + } + ] + } + } + }, + "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { + "package": { + "name": "XOR", + "version": "1.0.1", + "description": "Puerta XOR", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 88 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 784, + "y": 152 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 224 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- Puerta XOR\n\n//-- module xor (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a ^ b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "7263b9b45cf45542cb1e2badb21718e15c151ca5": { + "package": { + "name": "Agregador-bus", + "version": "0.1", + "description": "Agregador de 8 cables de 1-bit a bus de 8-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "type": "basic.input", + "data": { + "name": "i7", + "clock": false + }, + "position": { + "x": 88, + "y": 160 + } + }, + { + "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "type": "basic.input", + "data": { + "name": "i6", + "clock": false + }, + "position": { + "x": -16, + "y": 192 + } + }, + { + "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "type": "basic.input", + "data": { + "name": "i5", + "clock": false + }, + "position": { + "x": 88, + "y": 232 + } + }, + { + "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "type": "basic.input", + "data": { + "name": "i4", + "clock": false + }, + "position": { + "x": -16, + "y": 264 + } + }, + { + "id": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "type": "basic.output", + "data": { + "name": "o", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 824, + "y": 280 + } + }, + { + "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "type": "basic.input", + "data": { + "name": "i3", + "clock": false + }, + "position": { + "x": 96, + "y": 296 + } + }, + { + "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "type": "basic.input", + "data": { + "name": "i2", + "clock": false + }, + "position": { + "x": -8, + "y": 328 + } + }, + { + "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "type": "basic.input", + "data": { + "name": "i1", + "clock": false + }, + "position": { + "x": 96, + "y": 368 + } + }, + { + "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": -8, + "y": 400 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i7, i6, i5, i4, i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i7" + }, + { + "name": "i6" + }, + { + "name": "i5" + }, + { + "name": "i4" + }, + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 432, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i7" + } + }, + { + "source": { + "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i6" + } + }, + { + "source": { + "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i5" + } + }, + { + "source": { + "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" + } + }, + { + "source": { + "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + } + }, + { + "source": { + "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + } + }, + { + "source": { + "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + } + ] + } + } + }, + "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "package": { + "name": "Valor_0_4bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 4 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 928, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "type": "9274d3154d579c5922da669b25ca14097a46a22f", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" + }, + "target": { + "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "9274d3154d579c5922da669b25ca14097a46a22f": { + "package": { + "name": "Constante-4bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + } + ] + } + } + }, + "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { + "package": { + "name": "Join-8-4", + "version": "0.0.1", + "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "906137ba-f840-4759-9556-b6a0ec101654", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 136, + "y": 240 + } + }, + { + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 704, + "y": 288 + } + }, + { + "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 136, + "y": 336 + } + }, + { + "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "type": "basic.code", + "data": { + "code": "assign o = {i1,i0};\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 328, + "y": 224 + }, + "size": { + "width": 288, + "height": 192 + } + } + ], + "wires": [ + { + "source": { + "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + }, + "size": 4 + }, + { + "source": { + "block": "906137ba-f840-4759-9556-b6a0ec101654", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 8 + }, + { + "source": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" + }, + "target": { + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "7a11921b0d0ebdd41ebb33ea6eb263c988ac39da": { + "package": { + "name": "23-SL", + "version": "0.6", + "description": "23-SL: 23 bits shift left register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M29.925%205.385H19.28M61.424%203.789c.831%200%201.506.662%201.506%201.481%200%20.819-.675%201.482-1.506%201.481H19.603a1.494%201.494%200%200%201-1.507-1.48c0-.82.675-1.483%201.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M14.971%205.385l6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(-.70563%200%200%20.69474%2071.714%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2db5500c-71a6-4335-8bd0-701afda87946", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 824, + "y": -40 + } + }, + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 224, + "y": 48 + } + }, + { + "id": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c", + "type": "basic.output", + "data": { + "name": "so" + }, + "position": { + "x": 808, + "y": 80 + } + }, + { + "id": "adb65ce3-8256-4acb-ad39-154262efb1ad", + "type": "basic.input", + "data": { + "name": "si", + "clock": false + }, + "position": { + "x": 216, + "y": 176 + } + }, + { + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[22:0]", + "size": 23 + }, + "position": { + "x": 808, + "y": 272 + } + }, + { + "id": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e", + "type": "basic.input", + "data": { + "name": "shift", + "clock": false + }, + "position": { + "x": 224, + "y": 304 + } + }, + { + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 504, + "y": -96 + } + }, + { + "id": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "sin" + }, + { + "name": "shift" + } + ], + "out": [ + { + "name": "sout" + }, + { + "name": "q", + "range": "[22:0]", + "size": 23 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic Shift left register\n//-- Number of bits\nlocalparam N = 23;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Shift to the left when the\n //-- shift iput is 1\n if (shift == 1)\n\n //-- Shift to the left\n qi <= {qi[N-2:0], sin};\nend\n\n//-- Serial out: \n//-- It is the most significant bit\nassign sout = qi[N-1];\n\n//-- Paralell out\nassign q = qi;\n" + }, + "position": { + "x": 376, + "y": 16 + }, + "size": { + "width": 352, + "height": 384 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "clk" + } + }, + { + "source": { + "block": "adb65ce3-8256-4acb-ad39-154262efb1ad", + "port": "out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "sin" + } + }, + { + "source": { + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "INI" + } + }, + { + "source": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "q" + }, + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 23 + }, + { + "source": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "sout" + }, + "target": { + "block": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c", + "port": "in" + } + }, + { + "source": { + "block": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e", + "port": "out" + }, + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "shift" + } + } + ] + } + } + }, + "4d91143eb0ac88b88669eca9a15ede8720575f51": { + "package": { + "name": "generator-tone-triangle CLONE", + "version": "0.1-c1717073074472", + "description": "tone-triangle generator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ETriang.%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413l38.981%2065.276%2042.952-65.276%2042.387%2065.276%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", + "otid": 1588504190451 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 1424, + "y": 464 + } + }, + { + "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 328, + "y": 608 + } + }, + { + "id": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "type": "770c28026476212f3ed069d6ca576d596bfda158", + "position": { + "x": 504, + "y": 608 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "type": "ca1820001823848c6dc78e51cc1fbb0428844784", + "position": { + "x": 672, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5d537c32-ed34-4830-8226-c5c7453e56be", + "type": "12a890788daaa9c56b17d1359a50f5b901ed904e", + "position": { + "x": 848, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "type": "d4c82efb5b8421e49ac9b858ba26d2ae330d410a", + "position": { + "x": 1240, + "y": 464 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd58c545-9948-4421-87c1-8ee1066a801c", + "type": "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542", + "position": { + "x": 1096, + "y": 552 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "type": "fce857ceb8e70b34eae4384880aa348131314c28", + "position": { + "x": 1048, + "y": 432 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "port": "b64bd4de-2f3d-447f-b060-266885b19807" + }, + "target": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "dbd6fff4-5a1d-4732-b053-638352f8b401" + }, + "vertices": [], + "size": 23 + }, + { + "source": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" + }, + "target": { + "block": "5d537c32-ed34-4830-8226-c5c7453e56be", + "port": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b" + }, + "vertices": [], + "size": 11 + }, + { + "source": { + "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" + }, + "vertices": [] + }, + { + "source": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "6ec453ac-86e7-416f-bd41-ae5e17ad190d" + }, + "target": { + "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "port": "7dd3ca07-cf28-42b5-99cf-f85078c1c448" + }, + "vertices": [], + "size": 11 + }, + { + "source": { + "block": "fd58c545-9948-4421-87c1-8ee1066a801c", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "port": "838102af-d39e-4d6b-be4d-558ffe297b05" + }, + "vertices": [] + }, + { + "source": { + "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "port": "out" + }, + "target": { + "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + }, + "size": 24 + }, + { + "source": { + "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + }, + "target": { + "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "5d537c32-ed34-4830-8226-c5c7453e56be", + "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "73af1101-73af-449e-8c8b-0f32cd177bbb" + }, + "size": 11 + }, + { + "source": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86" + }, + "vertices": [ + { + "x": 832, + "y": 544 + } + ], + "size": 11 + } + ] + } + } + }, + "770c28026476212f3ed069d6ca576d596bfda158": { + "package": { + "name": "split-1-23", + "version": "0.1-c1588489478854", + "description": "split a 24-bit bus into 1 wire and a 23-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 584, + "y": 128 + } + }, + { + "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "type": "basic.input", + "data": { + "name": "i", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 64, + "y": 200 + } + }, + { + "id": "b64bd4de-2f3d-447f-b060-266885b19807", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[22:0]", + "size": 23 + }, + "position": { + "x": 584, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23];\nassign o0 = i[22:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[22:0]", + "size": 23 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 + }, + "size": { + "width": 256, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "port": "in" + }, + "size": 23 + } + ] + } + } + }, + "ca1820001823848c6dc78e51cc1fbb0428844784": { + "package": { + "name": "split-11-12", + "version": "0.1", + "description": "Split a 23-bit bus into one of 11-bits and another of 12-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", + "type": "basic.output", + "data": { + "name": "o1", + "range": "[10:0]", + "pins": [ + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 576, + "y": 176 + } + }, + { + "id": "dbd6fff4-5a1d-4732-b053-638352f8b401", + "type": "basic.input", + "data": { + "name": "i", + "range": "[22:0]", + "pins": [ + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { "index": "20", "name": "", "value": "" }, { - "index": "19", + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 56, + "y": 200 + } + }, + { + "id": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[11:0]", + "size": 12, + "virtual": false + }, + "position": { + "x": 576, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[22:12];\nassign o0 = i[11:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[22:0]", + "size": 23 + } + ], + "out": [ + { + "name": "o1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 + }, + "size": { + "width": 256, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", + "port": "in" + }, + "size": 11 + }, + { + "source": { + "block": "dbd6fff4-5a1d-4732-b053-638352f8b401", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 23 + } + ] + } + } + }, + "12a890788daaa9c56b17d1359a50f5b901ed904e": { + "package": { + "name": "NOT-11bits", + "version": "1.0.3-", + "description": "11-bits bus not gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "type": "basic.output", + "data": { + "name": "", + "range": "[10:0]", + "pins": [ + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 736, + "y": 144 + } + }, + { + "id": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", + "type": "basic.input", + "data": { + "name": "", + "range": "[10:0]", + "pins": [ + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 80, + "y": 144 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[10:0]", + "size": 11 + } + ], + "out": [ + { + "name": "c", + "range": "[10:0]", + "size": 11 + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 400, + "height": 256 + } + } + ], + "wires": [ + { + "source": { + "block": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + }, + "size": 11 + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "c" + }, + "target": { + "block": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "port": "in" + }, + "size": 11 + } + ] + } + } + }, + "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { + "package": { + "name": "Join-11-1", + "version": "0.0.1", + "description": "Join an 11-bits bus and a 1-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 160, + "y": 240 + } + }, + { + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 704, + "y": 288 + } + }, + { + "id": "838102af-d39e-4d6b-be4d-558ffe297b05", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": 152, + "y": 336 + } + }, + { + "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "type": "basic.code", + "data": { + "code": "assign o = {i1,i0};\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 328, + "y": 224 + }, + "size": { + "width": 288, + "height": 192 + } + } + ], + "wires": [ + { + "source": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" + }, + "target": { + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 11 + }, + { + "source": { + "block": "838102af-d39e-4d6b-be4d-558ffe297b05", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + } + } + ] + } + } + }, + "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { + "package": { + "name": "0", + "version": "0.1", + "description": "Un bit constante a 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 512, + "y": 160 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 256, + "height": 160 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "fce857ceb8e70b34eae4384880aa348131314c28": { + "package": { + "name": "11-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (11-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "73af1101-73af-449e-8c8b-0f32cd177bbb", + "type": "basic.input", + "data": { + "name": "1", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 320, + "y": -64 + } + }, + { + "id": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "type": "basic.output", + "data": { + "name": "", + "range": "[10:0]", + "size": 11 + }, + "position": { + "x": 984, + "y": 24 + } + }, + { + "id": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "type": "basic.input", + "data": { + "name": "0", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 320, + "y": 24 + } + }, + { + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 320, + "y": 112 + } + }, + { + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "i1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "i0", + "range": "[10:0]", + "size": 11 + }, + { + "name": "sel" + } + ], + "out": [ + { + "name": "o", + "range": "[10:0]", + "size": 11 + } + ] + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" + }, + "position": { + "x": 560, + "y": -80 + }, + "size": { + "width": 304, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" + }, + "target": { + "block": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "port": "in" + }, + "size": 11 + }, + { + "source": { + "block": "73af1101-73af-449e-8c8b-0f32cd177bbb", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" + }, + "size": 11 + }, + { + "source": { + "block": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" + }, + "size": 11 + } + ] + } + } + }, + "9bcc065f9661d93d2037a3bccf05b884964e1f4b": { + "package": { + "name": "PDM-1bit-DAC", + "version": "0.3", + "description": "Pulse-density modulated 1-bit DAC", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588403710364 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ef451927-f90b-424b-ad34-6ac114143513", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 56, + "y": 1072 + } + }, + { + "id": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 200, + "y": 1072 + } + }, + { + "id": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 976, + "y": 1176 + } + }, + { + "id": "309765f3-0e44-49b6-98a7-c4490b748153", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 192, + "y": 1216 + } + }, + { + "id": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1256, + "y": 1280 + } + }, + { + "id": "626fe170-4ece-4558-9a88-104a4ad5e559", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 200, + "y": 1328 + } + }, + { + "id": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "size": 12 + }, + "position": { + "x": 408, + "y": 1376 + } + }, + { + "id": "d8912692-c881-4f65-afd4-ef323a15a78d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 888, + "y": 1392 + } + }, + { + "id": "56c80258-8515-47c4-98b9-af247b8fa77b", + "type": "basic.input", + "data": { + "name": "din", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 64, + "y": 1528 + } + }, + { + "id": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "type": "basic.inputLabel", + "data": { + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "blockColor": "navy", + "size": 12 + }, + "position": { + "x": 224, + "y": 1528 + } + }, + { + "id": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", + "position": { + "x": 552, + "y": 1296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "type": "117c154c9710e7538dc4149a2241691940cc4a09", + "position": { + "x": 752, + "y": 1296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3f812810-f7f6-44f4-b61d-0771051d1786", + "type": "basic.info", + "data": { + "info": "Accumulator", + "readonly": true + }, + "position": { + "x": 368, + "y": 1224 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "28dbb1a3-bb5f-451d-8c33-a1384957e4fb", + "type": "basic.info", + "data": { + "info": "Add the incoming sample \nto the accumulator", + "readonly": true + }, + "position": { + "x": 528, + "y": 1224 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "8136d2e2-29e8-49a3-90c9-eab2965af74e", + "type": "basic.info", + "data": { + "info": "Incoming sample", + "readonly": true + }, + "position": { + "x": 112, + "y": 1496 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "1276c60c-b629-443b-9d74-635583ec44f0", + "type": "basic.info", + "data": { + "info": "Accumulator overflow \ncarry set (MSB)", + "readonly": true + }, + "position": { + "x": 760, + "y": 1224 + }, + "size": { + "width": 184, + "height": 56 + } + }, + { + "id": "344e3fb1-c7dd-4ec8-92f4-c930614ff803", + "type": "basic.info", + "data": { + "info": "Pulse-density modulated \noutput signal", + "readonly": true + }, + "position": { + "x": 1248, + "y": 1216 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "ad75e91f-5736-4b0a-9305-0228207b976a", + "type": "basic.info", + "data": { + "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "readonly": true + }, + "position": { + "x": 384, + "y": 752 + }, + "size": { + "width": 760, + "height": 272 + } + }, + { + "id": "84f30124-bb0d-465a-91f3-789d855aaba3", + "type": "basic.info", + "data": { + "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "readonly": true + }, + "position": { + "x": 1336, + "y": 760 + }, + "size": { + "width": 496, + "height": 184 + } + }, + { + "id": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1112, + "y": 1264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "type": "4a3e5082790168ab13226b6a032ed6e45e656420", + "position": { + "x": 352, + "y": 1280 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ef451927-f90b-424b-ad34-6ac114143513", + "port": "out" + }, + "target": { + "block": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "56c80258-8515-47c4-98b9-af247b8fa77b", + "port": "out", + "size": 12 + }, + "target": { + "block": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "port": "inlabel" + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "port": "outlabel" + }, + "target": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "d3293383-456c-471c-974d-342cc97e652b", + "size": 12 + }, + "target": { + "block": "d8912692-c881-4f65-afd4-ef323a15a78d", + "port": "inlabel" + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "port": "outlabel" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [] + }, + { + "source": { + "block": "309765f3-0e44-49b6-98a7-c4490b748153", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + }, + "vertices": [] + }, + { + "source": { + "block": "626fe170-4ece-4558-9a88-104a4ad5e559", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + }, + "target": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + }, + "vertices": [], + "size": 13 + }, + { + "source": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + }, + "target": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" + }, + "vertices": [], + "size": 12 + } + ] + } + } + }, + "29c9a45c6996a3804d02693a6f8a8daf6a157256": { + "package": { + "name": "sum-2op-12bits", + "version": "0.1", + "description": "Sumador de dos operandos de 12 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 224, + "y": 176 + } + }, + { + "id": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", + "type": "basic.output", + "data": { + "name": "", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 672, + "y": 192 + } + }, + { + "id": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 224, + "y": 248 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[11:0]", + "size": 12 + }, + { + "name": "b", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "s", + "range": "[12:0]", + "size": 13 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 12 + }, + { + "source": { + "block": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 12 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d", + "port": "in" + }, + "size": 13 + } + ] + } + } + }, + "117c154c9710e7538dc4149a2241691940cc4a09": { + "package": { + "name": "split-1-12-bus", + "version": "0.1", + "description": "Separador de bus de 13-bits en uno de 1bit y otro de 12bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "type": "basic.output", + "data": { + "name": "o1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false + }, + "position": { + "x": 584, + "y": 144 + } + }, + { + "id": "ecf75200-3425-42bf-b093-a4b731cadab6", + "type": "basic.input", + "data": { + "name": "i", + "range": "[12:0]", + "pins": [ + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 144, + "y": 200 + } + }, + { + "id": "d3293383-456c-471c-974d-342cc97e652b", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 576, + "y": 240 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[12];\nassign o0 = i[11:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[12:0]", + "size": 13 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" + } + }, + { + "source": { + "block": "ecf75200-3425-42bf-b093-a4b731cadab6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 13 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "d3293383-456c-471c-974d-342cc97e652b", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "4a3e5082790168ab13226b6a032ed6e45e656420": { + "package": { + "name": "Registro-12bits-system", + "version": "0.2", + "description": "Registro de 12 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 120, + "y": 192 + } + }, + { + "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 120, + "y": 296 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 12;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "q", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 12 + } + ] + } + } + }, + "4aa649ed9a98770b6b495b76e13c8991f2ada2f8": { + "package": { + "name": "Simplified-Heart-ms-32bits", + "version": "0.3", + "description": "Simplified-Heart-ms-sys-32bits: Nominal periodic signal in mili seconds", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22244.983%22%20height=%22223.683%22%20viewBox=%220%200%2064.818328%2059.182739%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M31.726%2058.436c-1.06-1.822-2.702-3.607-5.814-6.317-1.686-1.467-2.711-2.282-8.55-6.793-4.577-3.536-6.86-5.498-9.506-8.168-2.644-2.67-4.199-4.797-5.532-7.57-.852-1.77-1.437-3.476-1.801-5.249C.06%2022.087-.002%2021.325%200%2018.01c.003-4.352.147-5.076%201.575-7.979%201.062-2.155%201.869-3.29%203.548-4.996%201.631-1.655%202.69-2.407%204.98-3.54C12.645.237%2014.485-.093%2018.275.03c2.945.095%204.023.388%206.358%201.732%203.675%202.114%206.527%205.509%207.316%208.709.129.523.262.951.296.951.034%200%20.331-.612.66-1.36%201.123-2.543%202.166-4.095%203.822-5.69%205.07-4.89%2013.064-5.774%2019.528-2.162%202.64%201.475%204.787%203.623%206.451%206.452%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.307-1.136%201.725-1.977%202.77-3.554%204.416-2.545%202.658-4.84%204.612-10.257%208.732-3.418%202.6-5.444%204.271-8.377%206.914-2.35%202.117-5.99%205.802-6.341%206.419-.154.269-.292.489-.308.489-.017%200-.225-.336-.463-.747z%22%20fill=%22red%22/%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -72, + "y": -320 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 56, + "y": -320 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 992, + "y": -320 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": -320 + } + }, + { + "id": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 424, + "y": -176 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 728, + "y": -152 + } + }, + { + "id": "f8df038b-f5e0-46f5-9450-173b01f03457", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1008, + "y": -96 + } + }, + { + "id": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12_000_000", + "local": false + }, + "position": { + "x": -32, + "y": -160 + } + }, + { + "id": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 80, + "y": -160 + } + }, + { + "id": "1f13e77c-a957-4465-930f-e69ef9749b35", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 208, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "type": "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99", + "position": { + "x": 352, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "15a62858-6404-4eee-b2b1-063c51984061", + "type": "basic.info", + "data": { + "info": "Dividir entre dos el periodo \n(Multiplicar por 2 la frecuencia) ", + "readonly": true + }, + "position": { + "x": 264, + "y": 8 + }, + "size": { + "width": 368, + "height": 56 + } + }, + { + "id": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 56, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "type": "9cd840b50a80f25715b49324ba45c2e978fbc412", + "position": { + "x": 568, + "y": -128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "type": "b7f7136a87c607769a64233fd99bc12818a05746", + "position": { + "x": 864, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "fa4ebdcb-89fa-4546-a104-f53bf00b94d7", + "port": "outlabel" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f8df038b-f5e0-46f5-9450-173b01f03457", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "bc4c3a48-90f4-418e-a2b9-596d2729fcfc", + "port": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4" + }, + "vertices": [] + }, + { + "source": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "02680a82-d9bd-41b7-8c08-990091e7d2f2" + }, + "target": { + "block": "fd9cb801-de06-4a86-aefe-0309c09d9ac3", + "port": "a95e724c-148d-4ea1-b6e5-5186c82520df" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "8b0a8a99-fd6c-41b8-826a-52f35e572df2" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "1f13e77c-a957-4465-930f-e69ef9749b35", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "25534b9a-457d-4aa9-a2a9-c33a2dbff053", + "port": "79b18de5-9152-4bdb-8059-3dcf8efa92dc" + }, + "vertices": [] + }, + { + "source": { + "block": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "port": "constant-out" + }, + "target": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + }, + { + "source": { + "block": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "port": "constant-out" + }, + "target": { + "block": "c7c2a747-3f2c-42f0-8d8c-b5e03d767c21", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99": { + "package": { + "name": "SR1-32bits", + "version": "0.1", + "description": "SR1-32bits: Shift a 32-bit value one bit right. MSB is filled with the input in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22132.487%22%20viewBox=%220%200%20280.28048%20124.20677%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-228.395)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22none%22%20stroke-width=%221.65%22%20stroke=%22green%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M4.534%201.47l40.643-.064v50.43M274.981%20122.738l-63.82.063V72.37%22%20stroke-width=%222.813217%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "type": "basic.input", + "data": { + "name": "in", + "clock": false + }, + "position": { + "x": 216, + "y": 280 + } + }, + { + "id": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 808, + "y": 296 + } + }, + { + "id": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 224, + "y": 392 + } + }, + { + "id": "390a8028-5755-42e1-8173-5d973fb17d9b", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 808, + "y": 408 + } + }, + { + "id": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "type": "ecd30a800a660ad1e59f783099570f517b0d6cfe", + "position": { + "x": 416, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "type": "51b3c0c2868d4b8251891192d15f65348a99fe97", + "position": { + "x": 616, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "port": "out" + }, + "target": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "893af5e6-8636-4eb0-9db0-2b2cc10feffe" + }, + "target": { + "block": "390a8028-5755-42e1-8173-5d973fb17d9b", + "port": "in" + } + }, + { + "source": { + "block": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "port": "out" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae" + } + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "fcdf42fb-4c60-4831-a889-ae811cdabcbe" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "bc768a7d-c014-42a7-a27a-f607b633630e" + }, + "size": 31 + }, + { + "source": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "ecd30a800a660ad1e59f783099570f517b0d6cfe": { + "package": { + "name": "Bus32-Split-31-1", + "version": "0.1", + "description": "Bus32-Split-31-1: Split the 32-bits bus into two buses of 31 and 1 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "type": "basic.output", + "data": { + "name": "1", + "range": "[30:0]", + "size": 31 + }, + "position": { + "x": 592, + "y": 176 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[30:0]", + "size": 31 + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "port": "in" + }, + "size": 31 + } + ] + } + } + }, + "51b3c0c2868d4b8251891192d15f65348a99fe97": { + "package": { + "name": "Bus32-Join-1-31", + "version": "0.1", + "description": "Bus32-Join-1-31: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 112, + "y": 112 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "bc768a7d-c014-42a7-a27a-f607b633630e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[30:0]", + "clock": false, + "size": 31 + }, + "position": { + "x": 112, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1" + }, + { + "name": "i0", + "range": "[30:0]", + "size": 31 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 304, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "bc768a7d-c014-42a7-a27a-f607b633630e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 31 + }, + { + "source": { + "block": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + } + ] + } + } + }, + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { + "package": { + "name": "Unit-ms-32bits", + "version": "0.1", + "description": "Unit-ms-32bits: ms to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 952, + "y": 496 + } + }, + { + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12000000", + "local": false + }, + "position": { + "x": 520, + "y": 344 + } + }, + { + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 720, + "y": 344 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Input parameter: Milieconds ", + "readonly": true + }, + "position": { + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 + } + }, + { + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", + "data": { + "info": "Input parameter: \nSystem Frequency", + "readonly": true + }, + "position": { + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 + } + }, + { + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "MS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" + }, + "position": { + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] + }, + { + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" + }, + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" + } + } + ] + } + } + }, + "9cd840b50a80f25715b49324ba45c2e978fbc412": { + "package": { + "name": "Heart-sys-zero-32bits", + "version": "0.2", + "description": "Heart-sys-zero-32bits: Generate a periodic signal of period T cycles. It starts at cycle 0. It never stops", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22267.83%22%20height=%22235.568%22%20viewBox=%220%200%2070.863319%2062.327419%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-49.44%20-45.386)%22%3E%3Cpath%20d=%22M82.767%20103.823c-1.059-1.823-2.701-3.608-5.813-6.317-1.686-1.468-2.712-2.283-8.55-6.794-4.577-3.536-6.86-5.497-9.506-8.167-2.645-2.67-4.2-4.797-5.533-7.57-.851-1.77-1.436-3.477-1.8-5.25-.463-2.252-.526-3.014-.523-6.33.003-4.352.146-5.075%201.575-7.978%201.062-2.156%201.868-3.291%203.548-4.997%201.63-1.655%202.69-2.407%204.979-3.539%202.544-1.258%204.384-1.588%208.174-1.466%202.945.095%204.023.388%206.358%201.732%203.675%202.115%206.526%205.51%207.316%208.709.129.523.262.952.295.952.034%200%20.332-.612.662-1.36%201.122-2.544%202.164-4.095%203.82-5.692%205.07-4.889%2013.065-5.772%2019.528-2.16%202.64%201.475%204.788%203.622%206.452%206.451%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.308-1.137%201.725-1.978%202.77-3.555%204.416-2.544%202.658-4.839%204.611-10.256%208.731-3.418%202.6-5.445%204.271-8.377%206.914-2.35%202.117-5.991%205.803-6.342%206.419-.153.27-.292.49-.308.49-.016%200-.224-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%2028.715%2037.275)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.89397%200%200%20.89397%20106.937%20-105.28)%22%20stroke-width=%221.119%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.59%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.732%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20width=%2235.828%22%20height=%2219.351%22%20x=%2264.354%22%20y=%2261.538%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 96, + "y": -168 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 224, + "y": -168 + } + }, + { + "id": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1216, + "y": -168 + } + }, + { + "id": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 1074, + "y": -168 + } + }, + { + "id": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1216, + "y": -40 + } + }, + { + "id": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1072, + "y": -40 + } + }, + { + "id": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 832, + "y": -40 + } + }, + { + "id": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "type": "basic.input", + "data": { + "name": "T", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 96, + "y": -8 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 224, + "y": -8 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 0 + } + }, + { + "id": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 872, + "y": 24 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1216, + "y": 72 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1072, + "y": 72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": 80 + } + }, + { + "id": "89e11527-8c3d-4bbf-9694-1159de958b40", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 864, + "y": 112 + } + }, + { + "id": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": 224 + } + }, + { + "id": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "type": "basic.inputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 816, + "y": 288 + } + }, + { + "id": "815134a8-2814-476d-88c0-0d74da86efc4", + "type": "basic.outputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 272, + "y": 304 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Delay", + "readonly": true + }, + "position": { + "x": 712, + "y": 168 + }, + "size": { + "width": 72, + "height": 32 + } + }, + { + "id": "01772f99-50e3-45ae-95b0-8bca41f89605", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 408, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6455dc0-2aad-4d56-8a09-4ebcaf8db425", + "type": "basic.info", + "data": { + "info": "Start a new period", + "readonly": true + }, + "position": { + "x": 528, + "y": 232 + }, + "size": { + "width": 160, + "height": 32 + } + }, + { + "id": "8d4fd5c0-10c3-4dc8-b87e-e78b842e9ce0", + "type": "basic.info", + "data": { + "info": "Start at cycle 0!", + "readonly": true + }, + "position": { + "x": 272, + "y": 184 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38f7b59d-a55f-446c-bbf2-60dfc32db5b5", + "type": "basic.info", + "data": { + "info": "Repeat!", + "readonly": true + }, + "position": { + "x": 288, + "y": 368 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "f401416a-89c7-48c4-a759-3a2780237e11", + "type": "basic.info", + "data": { + "info": "Current period ended \nStart a new one", + "readonly": true + }, + "position": { + "x": 816, + "y": 240 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "88d96c30-493c-4914-b0a4-19ffd91abacd", + "type": "basic.info", + "data": { + "info": "Periodo (in system clock cycles)", + "readonly": true + }, + "position": { + "x": 240, + "y": -48 + }, + "size": { + "width": 264, + "height": 40 + } + }, + { + "id": "b628b46a-1f69-485c-82cf-e48cdffb2fbe", + "type": "basic.info", + "data": { + "info": "Current cycle in the period \n(0-T-1)", + "readonly": true + }, + "position": { + "x": 1120, + "y": -80 + }, + "size": { + "width": 232, + "height": 48 + } + }, + { + "id": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 680, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 272, + "y": 224 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "port": "inlabel" + }, + "vertices": [ + { + "x": 800, + "y": 152 + } + ] + }, + { + "source": { + "block": "815134a8-2814-476d-88c0-0d74da86efc4", + "port": "outlabel" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" + } + }, + { + "source": { + "block": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "port": "out", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "89e11527-8c3d-4bbf-9694-1159de958b40", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "port": "outlabel" + }, + "target": { + "block": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "size": 32 + }, + "target": { + "block": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "port": "outlabel" + }, + "target": { + "block": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "port": "in" + } + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "port": "inlabel" + } + }, + { + "source": { + "block": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "port": "outlabel" + }, + "target": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + } + }, + { + "source": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [] + }, + { + "source": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "e1fb385d657acff350e273a34472cf836d8701f2": { + "package": { + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 1104, + "y": 40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1264, + "y": 40 + } + }, + { + "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 112, + "y": 64 + } + }, + { + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 248, + "y": 64 + } + }, + { + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 80 + } + }, + { + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 144 + } + }, + { + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", + "data": { + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 152 + } + }, + { + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 240, + "y": 152 + } + }, + { + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 400, + "y": 208 + } + }, + { + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1264, + "y": 240 + } + }, + { + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 1112, + "y": 240 + } + }, + { + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": 248 + } + }, + { + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 288 + } + }, + { + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 568, + "y": 360 + } + }, + { + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1272, + "y": 376 + } + }, + { + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 1104, + "y": 376 + } + }, + { + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 912, + "y": 376 + } + }, + { + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 568, + "y": 424 + } + }, + { + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 912, + "y": 440 + } + }, + { + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 568, + "y": 496 + } + }, + { + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 112, + "y": 224 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true + }, + "position": { + "x": 112, + "y": 136 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true + }, + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" + }, + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" + } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 + } + ] + } + } + }, + "ee22aefdb40369d328e34626d38b009de330f96b": { + "package": { + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -24, + "y": -296 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 104, + "y": -296 + } + }, + { + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": -280 + } + }, + { + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1016, + "y": -280 + } + }, + { + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -24, + "y": -232 + } + }, + { + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -232 + } + }, + { + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1176, + "y": -208 + } + }, + { + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 1016, + "y": -208 + } + }, + { + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": -160 + } + }, + { + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", + "data": { + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -24, + "y": -160 + } + }, + { + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": -24, + "y": -96 + } + }, + { + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -96 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 16 + } + }, + { + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 304, + "y": 40 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 32, + "y": 136 + } + }, + { + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 32, + "y": 192 + } + }, + { + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": -32, + "y": 304 + } + }, + { + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 488 + } + }, + { + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 632, + "y": 8 + } + }, + { + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 424, + "y": 368 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "32-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 256 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, + "position": { + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, + "position": { + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", + "position": { + "x": 120, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", + "position": { + "x": 296, + "y": 424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" + }, + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } + }, + { + "source": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" + } + }, + { + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" + }, + "target": { + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 + }, + "target": { + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "port": "outlabel" + }, + "target": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 + }, + "target": { + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + } + }, + { + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 592, + "y": 288 + } + ], + "size": 32 + }, + { + "source": { + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 + } + ] + } + } + }, + "0906c20b5d8675f4f9b5706640957da9573692b5": { + "package": { + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 616, + "y": -88 + } + }, + { + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 232, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 144, + "y": -472 + } + }, + { + "id": "64015db2-163d-4858-966f-2f7282b187c9", + "type": "basic.outputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 784, + "y": -440 + } + }, + { + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" + }, + "target": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" + }, + "target": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", "name": "", "value": "" }, { - "index": "18", + "index": "1", "name": "", "value": "" }, { - "index": "17", + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", "name": "", "value": "" }, { - "index": "16", + "index": "2", "name": "", "value": "" }, { - "index": "15", + "index": "1", "name": "", "value": "" }, { - "index": "14", + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", "name": "", "value": "" }, { - "index": "13", + "index": "2", "name": "", "value": "" }, { - "index": "12", + "index": "1", "name": "", "value": "" }, { - "index": "11", + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", "name": "", "value": "" }, { - "index": "10", - "name": "", - "value": "" - }, + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ { - "index": "9", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ { - "index": "8", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ { - "index": "7", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ { - "index": "6", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ { - "index": "5", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ { - "index": "4", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ { - "index": "3", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ { - "index": "2", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ { - "index": "1", - "name": "", - "value": "" - }, + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], "virtual": true, - "blockColor": "fuchsia" + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" }, "position": { - "x": 1672, - "y": 928 + "x": 576, + "y": 80 } }, { - "id": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", - "type": "basic.inputLabel", + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "name": "2" }, "position": { - "x": 1536, - "y": 944 + "x": 600, + "y": 144 } }, { - "id": "3cdd862d-2200-4845-8f2d-13185122451b", - "type": "basic.outputLabel", + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "r17", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 1040, - "y": 960 + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" } }, { - "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "type": "basic.output", - "data": { - "name": "sample", - "range": "[11:0]", - "size": 12 + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, - "position": { - "x": 3272, - "y": 976 + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" } }, { - "id": "bdbadc17-4209-46a6-807f-d3d02d165e2b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r11", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, - "position": { - "x": 2704, - "y": 976 + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" } }, { - "id": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r7", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" }, - "position": { - "x": 2704, - "y": 1032 + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" } }, { - "id": "e6b47afc-9465-4141-9e78-343c0abc087e", - "type": "basic.outputLabel", + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "3", + "clock": false }, "position": { - "x": 896, - "y": 1032 + "x": 80, + "y": 64 } }, { - "id": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", - "type": "basic.outputLabel", + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "r4", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "2", + "clock": false }, "position": { - "x": 2704, - "y": 1088 + "x": 80, + "y": 136 } }, { - "id": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", - "type": "basic.inputLabel", + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "r16", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 1968, - "y": 1104 + "x": 712, + "y": 200 } }, { - "id": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", - "type": "basic.outputLabel", + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "name": "1", + "clock": false }, "position": { - "x": 1672, - "y": 1104 + "x": 80, + "y": 208 } }, { - "id": "5b363406-eaee-4af4-895c-662fa714c7f4", - "type": "basic.inputLabel", + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "r4", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "name": "0", + "clock": false }, "position": { - "x": 2448, - "y": 1104 + "x": 80, + "y": 272 } }, { - "id": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", - "type": "basic.outputLabel", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 2152, - "y": 1104 + "x": 640, + "y": 200 } }, { - "id": "c0405b9f-e8dd-4770-ab15-300719263cdc", - "type": "basic.outputLabel", + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "r2", + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { + "package": { + "name": "AdderC-4bits", + "version": "0.1", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", "pins": [ { "index": "0", @@ -8355,33 +16747,43 @@ } ], "virtual": true, - "oldBlockColor": "fuchsia" + "oldBlockColor": "deeppink" }, "position": { - "x": 2704, - "y": 1144 + "x": 8, + "y": -744 } }, { - "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "type": "basic.input", + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", "data": { - "name": "phase", - "range": "[23:0]", - "clock": false, - "size": 24 + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" }, "position": { - "x": 720, - "y": 1224 + "x": 576, + "y": -736 } }, { - "id": "8bc11e40-8b3d-4246-9941-2d442b68b65f", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "r13", + "blockColor": "deeppink", + "name": "a2", "pins": [ { "index": "0", @@ -8390,150 +16792,80 @@ } ], "virtual": true, - "oldBlockColor": "fuchsia" + "oldBlockColor": "deeppink" }, "position": { - "x": 1976, - "y": 1280 + "x": 8, + "y": -688 } - }, - { - "id": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], "virtual": true, - "blockColor": "fuchsia" + "oldBlockColor": "deeppink" }, "position": { - "x": 1680, - "y": 1280 + "x": 8, + "y": -632 } }, { - "id": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "r2", + "blockColor": "deeppink", + "name": "a0", "pins": [ { "index": "0", @@ -8545,1011 +16877,1505 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 2448, - "y": 1288 + "x": 8, + "y": -576 } }, { - "id": "71d17b9b-694b-4637-8a2e-669a8740abbf", + "id": "3c8597e6-ca79-494a-9a53-04c284205216", "type": "basic.outputLabel", "data": { - "name": "r", - "range": "[22:0]", + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], "virtual": true, - "blockColor": "fuchsia" + "oldBlockColor": "fuchsia" }, "position": { - "x": 2152, - "y": 1288 + "x": -8, + "y": -448 } }, { - "id": "33ebd859-d504-4139-90e0-9f8707c014f3", - "type": "basic.constant", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "19", - "local": true + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 888, - "y": 1128 + "x": 328, + "y": -440 } }, { - "id": "6aa6538e-d255-4da0-b455-929e85b53e5f", - "type": "basic.constant", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "23'b01101110010010000101011", - "local": true + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1360, - "y": 792 + "x": -8, + "y": -384 } }, { - "id": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", - "type": "basic.constant", + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", "data": { "name": "", - "value": "17", - "local": true + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 1824, - "y": 832 + "x": -312, + "y": -384 } }, { - "id": "01418e00-852b-43a9-948d-713721b5f4e7", - "type": "basic.constant", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "16", - "local": true + "blockColor": "fuchsia", + "name": "b1" }, "position": { - "x": 1824, - "y": 1008 + "x": 328, + "y": -376 } }, { - "id": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", - "type": "basic.constant", + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", "data": { - "name": "", - "value": "13", - "local": true + "name": "s", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 1832, - "y": 1184 + "x": 1064, + "y": -368 } }, { - "id": "3c45c485-1262-499b-a0f0-73c5235a5aeb", - "type": "basic.constant", + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "20", - "local": true + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 1832, - "y": 640 + "x": -16, + "y": -320 } }, { - "id": "94926ff6-27ff-467e-8804-a3618fefeb05", - "type": "basic.constant", + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "7", - "local": true + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2304, - "y": 824 + "x": 184, + "y": -296 } }, { - "id": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", - "type": "basic.constant", + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "4", - "local": true + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 2304, - "y": 1008 + "x": -32, + "y": -264 } }, { - "id": "2f1d0634-4943-4991-92c6-5e74879f70ad", - "type": "basic.constant", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "2", - "local": true + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2304, - "y": 1192 + "x": 184, + "y": -232 } }, { - "id": "fa3cedd1-efc5-4464-a07c-11e1248c4283", - "type": "basic.constant", + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", "data": { - "name": "", - "value": "11", - "local": true + "name": "ci", + "clock": false }, "position": { - "x": 2312, - "y": 640 + "x": -296, + "y": -168 } }, { - "id": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1064, - "y": 1208 + "x": 464, + "y": -392 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "type": "832c8ef4212d370059da69837d54be8864cbca2b", + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 888, - "y": 1224 + "x": 608, + "y": -544 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "type": "c117b63724ee3d4a37266b1fb1c97f32b0f91305", + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1360, - "y": 904 + "x": -168, + "y": -416 }, "size": { "width": 96, - "height": 96 + "height": 128 } }, { - "id": "c3df28c2-037d-415d-86f6-1addcca9705d", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", "position": { - "x": 1824, - "y": 928 + "x": -152, + "y": -664 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", "position": { - "x": 1200, - "y": 912 + "x": 896, + "y": -400 }, "size": { "width": 96, - "height": 64 + "height": 128 } }, { - "id": "9f1650f4-b36d-474e-806b-8acd57c50072", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1832, - "y": 736 + "x": 744, + "y": -688 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", "position": { - "x": 1824, - "y": 1104 + "x": 320, + "y": -248 }, "size": { "width": 96, - "height": 64 + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { - "id": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 1832, - "y": 1280 + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" } }, { - "id": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 2312, - "y": 736 + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" } }, { - "id": "129f2a60-0728-472b-983e-7dd2edddc301", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 2304, - "y": 920 + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { - "id": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 2304, - "y": 1104 + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 2304, - "y": 1288 + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" } }, { - "id": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "type": "7263b9b45cf45542cb1e2badb21718e15c151ca5", - "position": { - "x": 2904, - "y": 864 + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" }, - "size": { - "width": 96, - "height": 256 + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", - "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", - "position": { - "x": 2936, - "y": 1160 + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" } }, { - "id": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", - "position": { - "x": 3104, - "y": 976 + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "size": { - "width": 96, - "height": 64 + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" } - } - ], - "wires": [ + }, { "source": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "d0ac1354-2a1a-41ef-b3f0-870814de248a", - "port": "inlabel" - }, - "vertices": [] + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } }, { "source": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "367ee5f5-83e8-466f-8698-28455552ff71", - "size": 23 + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, "target": { - "block": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", - "port": "inlabel" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" }, - "vertices": [], - "size": 23 + "vertices": [ + { + "x": 824, + "y": -424 + } + ] }, { "source": { - "block": "c3df28c2-037d-415d-86f6-1addcca9705d", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" }, "target": { - "block": "16abb958-be27-4444-9f6b-84ebc4b62e78", - "port": "inlabel" + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "3945ce11-9f6e-41a3-a20b-999e25714702", - "port": "outlabel" + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" }, "target": { - "block": "c3df28c2-037d-415d-86f6-1addcca9705d", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "vertices": [], - "size": 23 + "size": 4 }, { "source": { - "block": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", - "port": "outlabel" + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, "target": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "3cdd862d-2200-4845-8f2d-13185122451b", - "port": "outlabel" + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" }, - "vertices": [] + "vertices": [ + { + "x": 728, + "y": -552 + } + ] }, { "source": { - "block": "9f1650f4-b36d-474e-806b-8acd57c50072", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", - "port": "inlabel" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, - "vertices": [] + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } }, { "source": { - "block": "fe4665a9-f28c-4182-9208-5b8944e11d63", - "port": "outlabel" + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, "target": { - "block": "9f1650f4-b36d-474e-806b-8acd57c50072", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -648 + } + }, + { + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -408, + "y": -584 + } + }, + { + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 832, + "y": -480 + } + }, + { + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 248, + "y": -456 + } + }, + { + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -448 + } + }, + { + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -400, + "y": -392 + } + }, + { + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, + { + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, - "vertices": [], - "size": 23 + "position": { + "x": 248, + "y": -376 + } }, { - "source": { - "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" - }, - "target": { - "block": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", - "port": "inlabel" + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 }, - "vertices": [] + "position": { + "x": 840, + "y": -336 + } }, { - "source": { - "block": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", - "port": "outlabel" - }, - "target": { - "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, - "vertices": [], - "size": 23 + "position": { + "x": 120, + "y": -304 + } }, { - "source": { - "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" - }, - "target": { - "block": "8bc11e40-8b3d-4246-9941-2d442b68b65f", - "port": "inlabel" + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, - "vertices": [] + "position": { + "x": 120, + "y": -232 + } }, { - "source": { - "block": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", - "port": "outlabel" - }, - "target": { - "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false }, - "vertices": [], - "size": 23 + "position": { + "x": -392, + "y": -168 + } }, { - "source": { - "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" - }, - "target": { - "block": "1863b72e-5766-46ac-8069-2a95a616dcc8", - "port": "inlabel" + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 }, - "vertices": [] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "a73bd436-5168-495f-818e-238ff938574c", - "port": "outlabel" - }, - "target": { - "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -240, + "y": -584 }, - "vertices": [], - "size": 23 + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "129f2a60-0728-472b-983e-7dd2edddc301", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" - }, - "target": { - "block": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", - "port": "inlabel" + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 }, - "vertices": [] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", - "port": "outlabel" - }, - "target": { - "block": "129f2a60-0728-472b-983e-7dd2edddc301", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 }, - "vertices": [], - "size": 23 + "size": { + "width": 96, + "height": 96 + } }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ { "source": { - "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "5b363406-eaee-4af4-895c-662fa714c7f4", + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", "port": "inlabel" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", - "port": "outlabel" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" }, - "vertices": [], - "size": 23 + "size": 4 }, { "source": { - "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", "port": "inlabel" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "71d17b9b-694b-4637-8a2e-669a8740abbf", - "port": "outlabel" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" }, - "vertices": [], - "size": 23 + "size": 4 }, { "source": { - "block": "71764031-997f-41ff-9a58-d822d28927dc", + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", "port": "outlabel" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "vertices": [] + "size": 4 }, { "source": { - "block": "06af9306-8956-41b0-a33b-a50ee3cb8ece", + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", "port": "outlabel" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, "vertices": [ { - "x": 2848, - "y": 896 + "x": 272, + "y": -256 } - ] + ], + "size": 4 }, { "source": { - "block": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", "port": "outlabel" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "vertices": [ - { - "x": 2832, - "y": 904 - } - ] + "size": 4 }, { "source": { - "block": "aef43116-9ab7-4175-9828-cbf27b985d7f", + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", "port": "outlabel" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, "vertices": [ { - "x": 2816, - "y": 960 + "x": 384, + "y": -400 } - ] - }, - { - "source": { - "block": "bdbadc17-4209-46a6-807f-d3d02d165e2b", - "port": "outlabel" - }, - "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" - }, - "vertices": [] + ], + "size": 4 }, { "source": { - "block": "c0405b9f-e8dd-4770-ab15-300719263cdc", - "port": "outlabel" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" - }, - "vertices": [] + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } }, { "source": { - "block": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", - "port": "outlabel" + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" - }, - "vertices": [] + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } }, { "source": { - "block": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", - "port": "outlabel" + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "42733799-2dd4-429b-bd43-a478067a10a6" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "f508b512-a1ab-42e5-878f-3690dfe8ddac", + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", "port": "out" }, "target": { - "block": "dd369695-00c2-4906-8a41-14acdc9b1408", - "port": "inlabel" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "e6b47afc-9465-4141-9e78-343c0abc087e", - "port": "outlabel" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, "target": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "4196184b-4a60-493b-bcc6-c95958483683" - } - }, - { - "source": { - "block": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", - "port": "outlabel" + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" }, - "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "07894df1-fc7e-49b9-bb99-fa49ed83929e" - } + "size": 8 }, { "source": { - "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "33ebd859-d504-4139-90e0-9f8707c014f3", - "port": "constant-out" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "d87dc0ca-b86d-4e51-a133-d49b2d371b94" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" }, - "vertices": [] + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } }, { - "source": { - "block": "6aa6538e-d255-4da0-b455-929e85b53e5f", - "port": "constant-out" + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 }, - "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "f21edaa1-e1b0-4659-885e-706cbc97921b" + "position": { + "x": 632, + "y": 200 + } + }, + { + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, - "vertices": [] + "position": { + "x": 120, + "y": 264 + } }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "c3df28c2-037d-415d-86f6-1addcca9705d", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "0260d9ea-0c59-4741-a37f-318b83113261" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "01418e00-852b-43a9-948d-713721b5f4e7", - "port": "constant-out" + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" }, "target": { - "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "vertices": [] + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } }, { - "source": { - "block": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", - "port": "constant-out" + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 }, - "target": { - "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 }, - "vertices": [] + "position": { + "x": 120, + "y": 264 + } }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "94926ff6-27ff-467e-8804-a3618fefeb05", - "port": "constant-out" + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" }, "target": { - "block": "129f2a60-0728-472b-983e-7dd2edddc301", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "2f1d0634-4943-4991-92c6-5e74879f70ad", - "port": "constant-out" + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" }, "target": { - "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 }, - "vertices": [] + "position": { + "x": 592, + "y": 152 + } }, { - "source": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9" - }, - "target": { - "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "port": "906137ba-f840-4759-9556-b6a0ec101654" + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "vertices": [], - "size": 8 + "position": { + "x": 72, + "y": 208 + } }, { - "source": { - "block": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", - "port": "53acced8-0736-40f7-8db1-7103a21f31c2" - }, - "target": { - "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "vertices": [], - "size": 4 + "position": { + "x": 592, + "y": 232 + } }, { - "source": { - "block": "3c45c485-1262-499b-a0f0-73c5235a5aeb", - "port": "constant-out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "9f1650f4-b36d-474e-806b-8acd57c50072", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "position": { + "x": 272, + "y": 176 }, - "vertices": [] - }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ { "source": { - "block": "fa3cedd1-efc5-4464-a07c-11e1248c4283", - "port": "constant-out" + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" }, "target": { - "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 32 }, { "source": { - "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", "port": "in" }, - "size": 12 + "size": 8 }, { "source": { - "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "port": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70" + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" }, "size": 24 } @@ -9557,187 +18383,63 @@ } } }, - "832c8ef4212d370059da69837d54be8864cbca2b": { + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { "package": { - "name": "Extract-1-bit", + "name": "Bus24-Split-16-8", "version": "0.1", - "description": "Extract 1 bit from a 24-bits bus", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "352fe87a-e310-4225-9c82-86adf05aade8", + "id": "4ca7b72f-724d-435c-8490-645c338586db", "type": "basic.output", "data": { - "name": "" + "name": "1", + "range": "[15:0]", + "size": 16 }, "position": { - "x": 968, - "y": 296 + "x": 600, + "y": 128 } }, { - "id": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", "type": "basic.input", "data": { "name": "", "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "clock": false, + "size": 24 }, "position": { - "x": 504, - "y": 296 + "x": 96, + "y": 200 } }, { - "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "type": "basic.constant", + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "0", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 744, - "y": 184 + "x": 592, + "y": 224 } }, { - "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = i[BIT];", - "params": [ - { - "name": "BIT" - } - ], + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], "ports": { "in": [ { @@ -9748,759 +18450,444 @@ ], "out": [ { - "name": "o" + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 672, - "y": 296 + "x": 272, + "y": 168 }, "size": { - "width": 232, - "height": 64 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "port": "constant-out" + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "port": "out" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "BIT" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "o" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "block": "4ca7b72f-724d-435c-8490-645c338586db", "port": "in" - } + }, + "size": 16 }, { "source": { - "block": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "i" + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" }, - "size": 24 + "size": 8 } ] } } }, - "c117b63724ee3d4a37266b1fb1c97f32b0f91305": { + "306ca367fbbc5181b3c709d73f447e0710871a1d": { "package": { - "name": "23-bits-shift-register-left", + "name": "Bus16-Split-half", "version": "0.1", - "description": "23 bits shift register (left)", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22347.269%22%20viewBox=%220%200%20137.38127%2091.881653%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cpath%20d=%22M41.506%2057.974l13.608%2016.672-6.918-20.535%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2049.91L24.89%2030.296l-6.45%203.724-2.07-3.584%2020.905-12.069%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2062.842s-2.849-3.696-2.16-6.796c.687-3.1%203.265-6.136%203.265-6.136z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-4.843)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2057.974l13.607%2016.671-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2049.91l-9.232-19.613-6.451%203.724-2.069-3.584%2020.905-12.069%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2062.842s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2057.974l13.608%2016.671-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2049.91l-9.232-19.613-6.45%203.724-2.07-3.584%2020.905-12.069%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772l-23.689%2013.638s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cpath%20d=%22M197.304%20102.342H88.824%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22%20transform=%22translate(-69.649%20-95.494)%22/%3E%3C/svg%3E", - "otid": 1588522734419 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "07894df1-fc7e-49b9-bb99-fa49ed83929e", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 136, - "y": 32 - } - }, - { - "id": "5c917f6a-e3df-4199-a704-534f1f97bab8", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 32 - } - }, - { - "id": "ed71c26c-5a49-43ba-933c-a3db4ed1b90f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 200, - "y": 184 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "type": "basic.output", "data": { - "name": "MSB", - "virtual": false + "name": "1", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 800, - "y": 200 + "x": 608, + "y": 176 } }, { - "id": "0260d9ea-0c59-4741-a37f-318b83113261", + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", "type": "basic.input", - "data": { - "name": "lsb", - "clock": false, - "virtual": true - }, - "position": { - "x": 200, - "y": 280 - } - }, - { - "id": "367ee5f5-83e8-466f-8698-28455552ff71", - "type": "basic.output", "data": { "name": "", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false - }, - "position": { - "x": 808, - "y": 320 - } - }, - { - "id": "d87dc0ca-b86d-4e51-a133-d49b2d371b94", - "type": "basic.input", - "data": { - "name": "shift", + "range": "[15:0]", "clock": false, - "virtual": true + "size": 16 }, "position": { - "x": 200, - "y": 336 + "x": 96, + "y": 208 } }, { - "id": "f21edaa1-e1b0-4659-885e-706cbc97921b", - "type": "basic.constant", + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "0", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 488, - "y": 112 + "x": 608, + "y": 272 } }, { - "id": "e04b9910-f015-41c6-9c66-1bd014dd0e01", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "reg [22:0] q = INI;\n\nalways @(posedge clk) begin\n if (shift) begin\n q <= {q[21:0], lsb};\n end\nend\n\nassign MSB = q[22];", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "lsb" - }, - { - "name": "shift" + "name": "i", + "range": "[15:0]", + "size": 16 } ], "out": [ { - "name": "MSB" + "name": "o1", + "range": "[7:0]", + "size": 8 }, { - "name": "q", - "range": "[22:0]", - "size": 23 + "name": "o0", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 368, - "y": 216 + "x": 272, + "y": 176 }, "size": { - "width": 336, - "height": 184 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "07894df1-fc7e-49b9-bb99-fa49ed83929e", - "port": "out" - }, - "target": { - "block": "5c917f6a-e3df-4199-a704-534f1f97bab8", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "ed71c26c-5a49-43ba-933c-a3db4ed1b90f", - "port": "outlabel" - }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "clk" - } - }, - { - "source": { - "block": "f21edaa1-e1b0-4659-885e-706cbc97921b", - "port": "constant-out" - }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "INI" - } - }, - { - "source": { - "block": "0260d9ea-0c59-4741-a37f-318b83113261", + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", "port": "out" }, "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "lsb" - } - }, - { - "source": { - "block": "d87dc0ca-b86d-4e51-a133-d49b2d371b94", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "shift" - } + "size": 16 }, { "source": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "367ee5f5-83e8-466f-8698-28455552ff71", + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "port": "in" }, - "size": 23 + "size": 8 }, { "source": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "MSB" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", "port": "in" - } + }, + "size": 8 } ] } } }, - "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6": { + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { "package": { - "name": "Extract-1-bit", + "name": "Bus32-Join-8-24", "version": "0.1", - "description": "Extract 1 bit from a 23-bits bus", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "352fe87a-e310-4225-9c82-86adf05aade8", - "type": "basic.output", + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", "data": { - "name": "" + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 968, - "y": 296 + "x": 120, + "y": 104 } }, { - "id": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "type": "basic.input", + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", "data": { "name": "", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "range": "[31:0]", + "size": 32 }, "position": { - "x": 512, - "y": 296 + "x": 648, + "y": 200 } }, { - "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "type": "basic.constant", + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", "data": { - "name": "", - "value": "0", - "local": false + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 744, - "y": 184 + "x": 120, + "y": 224 } }, { - "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = i[BIT];", - "params": [ - { - "name": "BIT" - } - ], + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "i", - "range": "[22:0]", - "size": 23 + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 } ], "out": [ { - "name": "o" + "name": "o", + "range": "[31:0]", + "size": 32 } ] } }, "position": { - "x": 672, - "y": 296 + "x": 296, + "y": 176 }, "size": { - "width": 232, - "height": 64 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "port": "constant-out" + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "BIT" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "block": "16e78204-213e-4833-9096-89d735307ec2", "port": "o" }, "target": { - "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", "port": "in" - } + }, + "size": 32 }, { "source": { - "block": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", "port": "out" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "i" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "size": 23 + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 } ] } } }, - "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { + "a63735be57457fe4a3aad098b06ba4a251615267": { "package": { - "name": "XOR", - "version": "1.0.1", - "description": "Puerta XOR", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", "type": "basic.input", "data": { - "name": "" + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 64, - "y": 88 + "x": -96, + "y": 184 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 784, - "y": 152 + "x": 392, + "y": 224 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", "type": "basic.input", "data": { - "name": "" + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 64, - "y": 224 + "x": -96, + "y": 256 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "id": "c9b95091-7c99-448b-9934-18e096717eeb", "type": "basic.code", "data": { - "code": "//-- Puerta XOR\n\n//-- module xor (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a ^ b;\n\n//-- endmodule", - "params": [], "ports": { "in": [ { - "name": "a" + "name": "a", + "range": "[31:0]", + "size": 32 }, { - "name": "b" + "name": "b", + "range": "[31:0]", + "size": 32 } ], "out": [ { - "name": "c" + "name": "ge" } ] - } + }, + "params": [], + "code": "assign ge = (a >= b);" }, "position": { - "x": 256, - "y": 48 + "x": 88, + "y": 184 }, "size": { - "width": 464, - "height": 272 + "width": 240, + "height": 136 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "block": "c9b95091-7c99-448b-9934-18e096717eeb", "port": "a" - } + }, + "size": 32 }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "block": "c9b95091-7c99-448b-9934-18e096717eeb", "port": "b" - } + }, + "size": 32 }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" } } @@ -10508,1022 +18895,922 @@ } } }, - "7263b9b45cf45542cb1e2badb21718e15c151ca5": { + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { "package": { - "name": "Agregador-bus", - "version": "0.1", - "description": "Agregador de 8 cables de 1-bit a bus de 8-bits", + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "i7", - "clock": false + "name": "", + "clock": true }, "position": { - "x": 88, - "y": 160 + "x": 560, + "y": 64 } }, { - "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "type": "basic.input", + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", "data": { - "name": "i6", - "clock": false + "name": "nc" }, "position": { - "x": -16, - "y": 192 + "x": 1184, + "y": 72 } }, { - "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "type": "basic.input", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", "data": { - "name": "i5", - "clock": false + "name": "nc" }, "position": { - "x": 88, - "y": 232 + "x": 1184, + "y": 144 } }, { - "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "type": "basic.input", "data": { - "name": "i4", + "name": "rst", "clock": false }, "position": { - "x": -16, - "y": 264 + "x": 560, + "y": 168 } }, { - "id": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "type": "basic.output", "data": { - "name": "o", - "range": "[7:0]", - "size": 8 + "name": "", + "range": "[31:0]", + "size": 32 }, "position": { - "x": 824, - "y": 280 + "x": 1184, + "y": 224 } }, { - "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "type": "basic.input", "data": { - "name": "i3", - "clock": false + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 96, - "y": 296 + "x": 560, + "y": 272 } }, { - "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", "type": "basic.input", "data": { - "name": "i2", + "name": "load", "clock": false }, "position": { - "x": -8, - "y": 328 + "x": 560, + "y": 376 } }, { - "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "type": "basic.input", + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", "data": { - "name": "i1", - "clock": false + "name": "nc" }, "position": { - "x": 96, - "y": 368 + "x": 1184, + "y": 376 } }, { - "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "type": "basic.input", + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", "data": { - "name": "i0", - "clock": false + "name": "", + "value": "0", + "local": false }, "position": { - "x": -8, - "y": 400 + "x": 864, + "y": -80 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "type": "basic.code", "data": { - "code": "assign o = {i7, i6, i5, i4, i3, i2, i1, i0};\n", - "params": [], "ports": { "in": [ { - "name": "i7" - }, - { - "name": "i6" - }, - { - "name": "i5" - }, - { - "name": "i4" - }, - { - "name": "i3" + "name": "clk" }, { - "name": "i2" + "name": "rst" }, { - "name": "i1" + "name": "d", + "range": "[31:0]", + "size": 32 }, { - "name": "i0" + "name": "load" } ], "out": [ { - "name": "o", - "range": "[7:0]", - "size": 8 + "name": "q", + "range": "[31:0]", + "size": 32 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 296, - "y": 176 + "x": 720, + "y": 40 }, "size": { - "width": 432, - "height": 272 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", - "port": "in" - }, - "size": 8 - }, - { - "source": { - "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i7" - } - }, - { - "source": { - "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "port": "out" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i6" - } - }, - { - "source": { - "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "port": "out" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i5" - } + "vertices": [] }, { "source": { - "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "port": "out" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i4" - } + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" + }, + "size": 32 }, { "source": { - "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" - } + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" + }, + "size": 32 }, { "source": { - "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" } }, { "source": { - "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "block": "f1022de4-0225-43d7-92d3-451161ee6942", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" } } ] } } }, - "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { "package": { - "name": "Valor_0_4bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 4 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 }, "design": { "graph": { "blocks": [ { - "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 240, + "y": 0 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "k", - "range": "[3:0]", - "size": 4 + "name": "" }, "position": { - "x": 928, - "y": 256 + "x": 832, + "y": 120 } }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "set", + "clock": false + }, + "position": { + "x": 232, + "y": 120 + } + }, + { + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 232, + "y": 240 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", "type": "basic.constant", "data": { "name": "", "value": "0", - "local": true + "local": false }, "position": { - "x": 728, - "y": 152 + "x": 536, + "y": -144 } }, { - "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "type": "9274d3154d579c5922da669b25ca14097a46a22f", + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "set" + }, + { + "name": "reset" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, "position": { - "x": 728, - "y": 256 + "x": 400, + "y": -32 }, "size": { - "width": 96, - "height": 64 + "width": 360, + "height": 360 } } ], "wires": [ { "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", "port": "constant-out" }, "target": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" } }, { "source": { - "block": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "port": "5ed3ad73-16e5-4dda-9483-c36394a97ad2" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" }, "target": { - "block": "53acced8-0736-40f7-8db1-7103a21f31c2", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" - }, - "size": 4 + } } ] } } }, - "9274d3154d579c5922da669b25ca14097a46a22f": { + "12181aafa567423f27b91da184acb1c9a94045c5": { "package": { - "name": "Constante-4bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 4 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 }, "design": { "graph": { "blocks": [ { - "id": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 296, + "y": -48 + } + }, + { + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 448, + "y": -48 + } + }, + { + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 344, + "y": 120 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "k", - "range": "[3:0]", - "size": 4 + "name": "" }, "position": { - "x": 960, - "y": 248 + "x": 656, + "y": 216 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", "type": "basic.constant", "data": { "name": "", - "value": "0", - "local": false + "value": "1", + "local": true }, "position": { - "x": 728, - "y": 128 + "x": 488, + "y": 104 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "type": "basic.code", + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", "data": { - "code": "assign k = VALUE;", - "params": [ - { - "name": "VALUE" - } - ], - "ports": { - "in": [], - "out": [ - { - "name": "k", - "range": "[3:0]", - "size": 4 - } - ] - } + "info": "System clock", + "readonly": true }, "position": { - "x": 672, - "y": 248 + "x": 304, + "y": -80 }, "size": { - "width": 208, - "height": 64 + "width": 120, + "height": 40 } - } - ], - "wires": [ - { - "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" - }, - "target": { - "block": "5ed3ad73-16e5-4dda-9483-c36394a97ad2", - "port": "in" - }, - "size": 4 }, { - "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", - "port": "constant-out" + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 216, + "y": 216 }, - "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "size": { + "width": 96, + "height": 64 } - } - ] - } - } - }, - "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { - "package": { - "name": "Join-8-4", - "version": "0.0.1", - "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "906137ba-f840-4759-9556-b6a0ec101654", - "type": "basic.input", + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", + "type": "basic.info", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "info": "Initial value: 1", + "readonly": true }, "position": { - "x": 136, - "y": 240 + "x": 504, + "y": 280 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", - "type": "basic.output", + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", + "type": "basic.info", "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "info": "Initial value: 0", + "readonly": true }, "position": { - "x": 704, + "x": 216, "y": 288 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", - "type": "basic.input", + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", + "type": "basic.info", "data": { - "name": "i0", - "range": "[3:0]", - "clock": false, - "size": 4 + "info": "Falling edge", + "readonly": true }, "position": { - "x": 136, - "y": 336 + "x": 368, + "y": 264 + }, + "size": { + "width": 136, + "height": 40 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", - "type": "basic.code", - "data": { - "code": "assign o = {i1,i0};\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[7:0]", - "size": 8 - }, - { - "name": "i0", - "range": "[3:0]", - "size": 4 - } - ], - "out": [ - { - "name": "o", - "range": "[11:0]", - "size": 12 - } - ] - } - }, + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 328, - "y": 224 + "x": 488, + "y": 200 }, "size": { - "width": 288, - "height": 192 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" }, - "size": 4 + "vertices": [] }, { "source": { - "block": "906137ba-f840-4759-9556-b6a0ec101654", - "port": "out" + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" }, - "size": 8 + "vertices": [ + { + "x": 456, + "y": 184 + } + ] }, { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "o" + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", - "port": "in" - }, - "size": 12 - } - ] - } - } - }, - "7e4732d019bee7dcd43cb77e27f36dc2a19ff1ad": { - "package": { - "name": "12-bits-Mux-2-1", - "version": "0.0.1", - "description": "24-bits 2-1 multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "29f01cf3-7e6d-4f95-9e04-2236886e1e84", - "type": "basic.input", - "data": { - "name": "i1", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false - }, - "position": { - "x": -704, - "y": -88 + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } - }, - { - "id": "712723db-f73b-4887-9c99-430b9e3c1e6f", - "type": "basic.output", - "data": { - "name": "o", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + }, + { + "source": { + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" }, - "position": { - "x": -88, - "y": 0 + "target": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" } }, { - "id": "ede4baec-cd39-4aa2-a324-7924698c41f1", + "source": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "b7f7136a87c607769a64233fd99bc12818a05746": { + "package": { + "name": "TFF-verilog", + "version": "0.4", + "description": "TFF-verilog. System TFF with toggle input: It toogles on every system cycle if the input is active. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22446.564%22%20height=%22323.478%22%20viewBox=%220%200%20118.15346%2085.586967%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2217.105%22%20y=%22102.424%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2217.105%22%20y=%22102.424%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E1%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M103.647%2011.233l8.692-8.353-1.016%2027.43-26.866-1.016%208.24-8.128s-6.32-6.66-17.496-6.773c-11.175-.113-17.948%206.209-17.948%206.209l.113-9.256-6.999-3.048S61.317-.282%2075.88.396c14.561.677%2027.768%2010.837%2027.768%2010.837zM44.599%2074.354l-8.692%208.353%201.016-27.43%2026.866%201.016-8.24%208.128s6.32%206.66%2017.496%206.773c11.175.112%2017.948-6.209%2017.948-6.209l-.113%209.256%206.999%203.048s-10.95%208.58-25.511%207.902c-14.562-.677-27.77-10.837-27.77-10.837z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.716%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu%22%20x=%2291.581%22%20y=%22124.887%22%20font-weight=%22400%22%20font-size=%2243.588%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%222.724%22%20transform=%22translate(3.689%20-56.576)%22%3E%3Ctspan%20x=%2291.581%22%20y=%22124.887%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M77.094%2046.485l3.003%2011.933-7.058-10.182%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.915%2040.345l-5.845-10.93%203.91-1.687-.938-2.172-12.672%205.47.938%202.172%203.693-1.594%204.253%2011.738s-2.772%201.786-2.574%204.168c.198%202.383%201.397%202.835%201.203%202.954l14.342-6.211s-.723-2.568-2.38-3.311c-1.657-.743-3.93-.597-3.93-.597z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%22.716%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.18163%200%200%201.18163%20-101.312%20-19.89)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "i0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "", + "clock": true }, "position": { - "x": -704, - "y": 0 + "x": 328, + "y": -80 } }, { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 904, + "y": -8 + } + }, + { + "id": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", "type": "basic.input", "data": { - "name": "sel", + "name": "", "clock": false }, "position": { - "x": -704, - "y": 88 + "x": 320, + "y": 64 + } + }, + { + "id": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 624, + "y": -248 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", "type": "basic.code", "data": { - "code": "//-- Multiplexor de 2 a 1, \n//-- de 12 bits\n\nreg [11:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", - "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[11:0]", - "size": 12 - }, - { - "name": "i0", - "range": "[11:0]", - "size": 12 + "name": "clk" }, { - "name": "sel" + "name": "t" } ], "out": [ { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "q" } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- check the toogle input\n if (t == 1'b1)\n qi <= ~ qi;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": -464, - "y": -104 + "x": 496, + "y": -120 }, "size": { - "width": 304, - "height": 272 + "width": 352, + "height": 288 } } ], "wires": [ { "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "port": "out" + "block": "915bebf3-8f1a-4547-8056-fe3e75c77022", + "port": "constant-out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "INI" } }, { "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" }, "target": { - "block": "712723db-f73b-4887-9c99-430b9e3c1e6f", - "port": "in" - }, - "size": 12 + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "clk" + } }, { "source": { - "block": "ede4baec-cd39-4aa2-a324-7924698c41f1", + "block": "fcbd52d3-d1b8-4cd4-befc-e045d20b91e4", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 12 + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "t" + } }, { "source": { - "block": "29f01cf3-7e6d-4f95-9e04-2236886e1e84", - "port": "out" + "block": "60198e6c-e8ec-433b-a4fb-55bed9afcc45", + "port": "q" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" - }, - "size": 12 + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } } }, - "5124dff3f8dd174c4eadfa302b77435826215918": { + "a4cc064d02cd8523ea1b78dcccc7e249936303c7": { "package": { - "name": "Corazon_ms", - "version": "0.1", - "description": "Bombear 1 bit con el periodo especificado en el parámetro (en ms). Por defecto el periodo es de 100 ms", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22173.749%22%20height=%22156.392%22%20viewBox=%220%200%20162.89028%20146.61832%22%3E%3Cpath%20d=%22M78.495%20143.399c-2.574-4.43-6.565-8.766-14.127-15.35-4.096-3.566-6.588-5.546-20.775-16.506-11.12-8.593-16.668-13.36-23.098-19.847C14.07%2085.208%2010.293%2080.04%207.052%2073.303%204.984%2069%203.562%2064.854%202.676%2060.547c-1.123-5.472-1.275-7.324-1.27-15.382.008-10.573.357-12.332%203.828-19.385%202.58-5.239%204.54-7.997%208.62-12.141%203.963-4.022%206.536-5.85%2012.1-8.6%206.18-3.057%2010.65-3.859%2019.86-3.562%207.157.232%209.776.943%2015.45%204.208%208.929%205.138%2015.858%2013.387%2017.776%2021.162.313%201.27.636%202.312.719%202.312.082%200%20.805-1.487%201.606-3.305%202.727-6.179%205.26-9.95%209.284-13.828%2012.32-11.88%2031.744-14.027%2047.45-5.25%206.414%203.584%2011.633%208.802%2015.676%2015.675%203.184%205.41%204.812%2012.595%205.09%2022.464.402%2014.298-2.214%2024.207-9.174%2034.766-2.763%204.191-4.806%206.73-8.638%2010.73-6.182%206.458-11.758%2011.205-24.92%2021.216-8.307%206.317-13.23%2010.378-20.355%2016.8-5.71%205.143-14.558%2014.1-15.41%2015.596-.372.655-.71%201.19-.749%201.19-.039%200-.544-.816-1.124-1.814z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%222.813%22/%3E%3Ctext%20y=%22136.183%22%20x=%22114.12%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2253.914%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22136.183%22%20x=%22114.12%22%20font-weight=%22700%22%20font-size=%2230.808%22%3Ems%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "12-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (12-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 }, "design": { "graph": { "blocks": [ { - "id": "70887b0b-826c-4150-a873-605b77da8272", + "id": "eb7adce5-249d-4117-a18b-2eb7e5b8f397", + "type": "basic.input", + "data": { + "name": "1", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 328, + "y": -64 + } + }, + { + "id": "79275c72-5e8f-442b-a3d7-d96d35aa5436", "type": "basic.output", "data": { - "name": "" + "name": "", + "range": "[11:0]", + "size": 12 }, "position": { - "x": 560, - "y": 128 + "x": 984, + "y": 24 } }, { - "id": "4656865c-bcf1-4668-8e13-9221e32222d3", + "id": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "0", + "range": "[11:0]", + "clock": false, + "size": 12 }, "position": { - "x": -296, - "y": 128 + "x": 320, + "y": 24 } }, { - "id": "319cdca8-e777-4c8b-88ca-f885ba96ad06", - "type": "basic.constant", + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", "data": { - "name": "", - "value": "100", - "local": false + "name": "sel", + "clock": false }, "position": { - "x": 112, - "y": -224 + "x": 320, + "y": 112 } }, { - "id": "7e864797-b165-408f-a9ce-2e71dc63f8b8", + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", "type": "basic.code", "data": { - "code": "\n//parameter HZ=1;\n\n//-- Constante para dividir y obtener una frecuencia de 1Hz\nlocalparam M = 12000*MS;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Registro del divisor\nreg [N-1:0] divcounter;\n\n//-- Temporal clock\nreg clk_t = 0;\n\n//-- Se usa un contador modulo M/2 para luego\n//-- pasarlo por un biestable T y dividir la frecuencia\n//-- entre 2, para que el ciclo de trabajo sea del 50%\nalways @(posedge clk)\n if (divcounter == M/2) begin\n clk_t <= 1;\n divcounter = 0;\n end \n else begin\n divcounter <= divcounter + 1;\n clk_t = 0;\n end \n \nreg clk_o = 0; \n \n//-- Biestable T para obtener ciclo de trabajo del 50%\nalways @(posedge clk)\n if (clk_t)\n clk_o <= ~clk_o;\n", - "params": [ - { - "name": "MS" - } - ], "ports": { "in": [ { - "name": "clk" + "name": "i1", + "range": "[11:0]", + "size": 12 + }, + { + "name": "i0", + "range": "[11:0]", + "size": 12 + }, + { + "name": "sel" } ], "out": [ { - "name": "clk_o" + "name": "o", + "range": "[11:0]", + "size": 12 } ] - } + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" }, "position": { - "x": -104, - "y": -104 + "x": 560, + "y": -80 }, "size": { - "width": 528, - "height": 528 + "width": 304, + "height": 272 } } ], "wires": [ { "source": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk_o" + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" }, "target": { - "block": "70887b0b-826c-4150-a873-605b77da8272", + "block": "79275c72-5e8f-442b-a3d7-d96d35aa5436", "port": "in" - } + }, + "size": 12 }, { "source": { - "block": "4656865c-bcf1-4668-8e13-9221e32222d3", + "block": "eb7adce5-249d-4117-a18b-2eb7e5b8f397", "port": "out" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "clk" + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" }, - "vertices": [] + "size": 12 }, { "source": { - "block": "319cdca8-e777-4c8b-88ca-f885ba96ad06", - "port": "constant-out" + "block": "7d0976af-2cd5-4c1f-9536-a8cca21dc18c", + "port": "out" }, "target": { - "block": "7e864797-b165-408f-a9ce-2e71dc63f8b8", - "port": "MS" - } + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" + }, + "size": 12 } ] } diff --git a/examples/05-tonex4-test.ice b/examples/05-tonex4-test.ice index 94ca76f..77d4a82 100644 --- a/examples/05-tonex4-test.ice +++ b/examples/05-tonex4-test.ice @@ -377,6 +377,31 @@ "y": 328 } }, + { + "id": "73087129-f665-4161-96d0-1ae0ebe9e852", + "type": "basic.output", + "data": { + "name": "LED", + "range": "[1:0]", + "pins": [ + { + "index": "1", + "name": "LED1", + "value": "44" + }, + { + "index": "0", + "name": "LED0", + "value": "45" + } + ], + "virtual": false + }, + "position": { + "x": 2584, + "y": 512 + } + }, { "id": "f09884ce-ae72-47bc-90f4-6554ebdff24b", "type": "basic.inputLabel", @@ -514,31 +539,6 @@ "y": 520 } }, - { - "id": "73087129-f665-4161-96d0-1ae0ebe9e852", - "type": "basic.output", - "data": { - "name": "LED", - "range": "[1:0]", - "pins": [ - { - "index": "1", - "name": "LED1", - "value": "44" - }, - { - "index": "0", - "name": "LED0", - "value": "45" - } - ], - "virtual": false - }, - "position": { - "x": 2584, - "y": 528 - } - }, { "id": "9283510e-9f10-4729-a7ff-f91af0d38ad7", "type": "basic.outputLabel", @@ -1479,18 +1479,6 @@ "height": 64 } }, - { - "id": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "type": "27b197ddfe28a2c9581a304b0ccd015cc15cf26e", - "position": { - "x": 2648, - "y": 248 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "de62124e-f283-4394-9e0b-3646526a69bf", "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", @@ -1535,30 +1523,6 @@ "height": 56 } }, - { - "id": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "type": "b874a1c418f63978103a0af886f5f5a730f47061", - "position": { - "x": 1640, - "y": 216 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "409d054f-820e-44d1-9997-c72117d07134", - "type": "772f42d85230a43faf163474e79bd25bdd1f2aba", - "position": { - "x": 1576, - "y": 504 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "4025c3fc-24e7-49fa-b596-4a5b1b74cd7a", "type": "basic.info", @@ -1591,18 +1555,6 @@ "height": 32 } }, - { - "id": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "type": "be3c9cd53a16ff19140224bb4472883b9eebb8fc", - "position": { - "x": 1632, - "y": 1040 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "c51a9548-0204-47a1-8afb-18f502a54f0d", "type": "basic.info", @@ -1651,18 +1603,6 @@ "height": 40 } }, - { - "id": "88e261b8-ef36-4f64-9130-05205111ed92", - "type": "7455f923e6af399a439f5304f9502a1671a93d81", - "position": { - "x": 1616, - "y": 744 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "84ec7b21-3b52-4027-b9a0-f0643f5b86f8", "type": "basic.info", @@ -1680,32 +1620,32 @@ } }, { - "id": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", - "type": "a2dee03e657a227300f2452e83621f3d51dde843", + "id": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", + "type": "4620ea20125ce2c6b0f7ac704483dd0662ce25b6", "position": { - "x": 2280, - "y": 848 + "x": 2432, + "y": 216 }, "size": { "width": 96, - "height": 64 + "height": 160 } }, { - "id": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", - "type": "4620ea20125ce2c6b0f7ac704483dd0662ce25b6", + "id": "77a7ec04-3912-4c2a-8e28-fec23351f831", + "type": "9bcc065f9661d93d2037a3bccf05b884964e1f4b", "position": { - "x": 2432, - "y": 216 + "x": 2648, + "y": 248 }, "size": { "width": 96, - "height": 160 + "height": 64 } }, { - "id": "ae90ba83-a1e1-4044-8d63-fc490c62f916", - "type": "2254c0c3d04afb61db0b9d3de6e7e8353dc8d814", + "id": "f5661f51-478d-41cb-ac77-e4fa04aab81a", + "type": "aa64b48aa628d28f9ccb00cf45e45d11179e60e8", "position": { "x": 2288, "y": 544 @@ -1716,11 +1656,11 @@ } }, { - "id": "0e62c680-dd9b-41ba-89d4-f6a83448841c", - "type": "519d315d08b3a8cc1aac53c9d14d2fd81d67033f", + "id": "7c537558-50ac-41a8-9b10-4566a9a592f4", + "type": "2f025eeee961b5e9fb60884fba021ac354d7248b", "position": { - "x": 2136, - "y": 560 + "x": 2272, + "y": 1008 }, "size": { "width": 96, @@ -1728,11 +1668,71 @@ } }, { - "id": "b6c8f712-100d-4e5b-b773-401e59278d7b", - "type": "82e4b0259d2efc4c461d726c59124df6fd48d2bd", + "id": "b7c5b4e9-42dc-454a-82c8-5ce50aea17f2", + "type": "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223", "position": { - "x": 2272, - "y": 1008 + "x": 1632, + "y": 1040 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3b390b60-67b0-41ee-92e1-bf609e8fbf9d", + "type": "130c1fe87a38bc44c0e4451bb2ecfde7ea212974", + "position": { + "x": 1616, + "y": 744 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c8d29677-d8cb-438c-8246-f81b844b1f4e", + "type": "4d91143eb0ac88b88669eca9a15ede8720575f51", + "position": { + "x": 2280, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cd1eeba7-a38d-4d58-8b9e-7f0b1d863375", + "type": "a7499d579ce034fce2c6c201134ee0acbd4232cd", + "position": { + "x": 1576, + "y": 504 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "d10de949-3e51-487b-9940-c89f8806411c", + "type": "e84ce437aab2f31a7365ea0897af8b2da0f55aaa", + "position": { + "x": 1640, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ab444b41-c371-4ca2-ae6a-bbc8e2e8f6b4", + "type": "4ecc3b7d192b67795201d550bdeadcf08f4823f6", + "position": { + "x": 2112, + "y": 560 }, "size": { "width": 96, @@ -1743,8 +1743,8 @@ "wires": [ { "source": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + "block": "d10de949-3e51-487b-9940-c89f8806411c", + "port": "fb8ce452-0454-433b-b40f-e8398e0be05d" }, "target": { "block": "1dc9ade9-9773-4717-a261-88673d386f09", @@ -1757,15 +1757,14 @@ "port": "outlabel" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "cd1eeba7-a38d-4d58-8b9e-7f0b1d863375", "port": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90" } }, { "source": { - "block": "409d054f-820e-44d1-9997-c72117d07134", - "port": "bf631fb2-9575-442d-ae82-71dd0be21888", - "size": 24 + "block": "cd1eeba7-a38d-4d58-8b9e-7f0b1d863375", + "port": "bf631fb2-9575-442d-ae82-71dd0be21888" }, "target": { "block": "f09884ce-ae72-47bc-90f4-6554ebdff24b", @@ -1779,9 +1778,8 @@ "port": "outlabel" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "port": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", - "size": 24 + "block": "b7c5b4e9-42dc-454a-82c8-5ce50aea17f2", + "port": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9" }, "size": 24 }, @@ -1791,9 +1789,8 @@ "port": "outlabel" }, "target": { - "block": "88e261b8-ef36-4f64-9130-05205111ed92", - "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "size": 24 + "block": "3b390b60-67b0-41ee-92e1-bf609e8fbf9d", + "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" }, "size": 24 }, @@ -1803,17 +1800,15 @@ "port": "outlabel" }, "target": { - "block": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", - "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "size": 24 + "block": "c8d29677-d8cb-438c-8246-f81b844b1f4e", + "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" }, "size": 24 }, { "source": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", - "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", - "size": 12 + "block": "b7c5b4e9-42dc-454a-82c8-5ce50aea17f2", + "port": "5d622c8f-f153-43c4-ad9e-d0a898fa2480" }, "target": { "block": "08a01672-fa7c-49c6-90b4-c4cca20d67ce", @@ -1823,9 +1818,8 @@ }, { "source": { - "block": "b3d2e406-fd8d-4eed-8f71-d70d354e524a", - "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "size": 12 + "block": "c8d29677-d8cb-438c-8246-f81b844b1f4e", + "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { "block": "1f1ccfe7-148d-42c6-83f9-c099083a70ae", @@ -1835,9 +1829,8 @@ }, { "source": { - "block": "88e261b8-ef36-4f64-9130-05205111ed92", - "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "size": 12 + "block": "3b390b60-67b0-41ee-92e1-bf609e8fbf9d", + "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { "block": "c5829887-526c-40dc-a96b-967b65e43950", @@ -1851,17 +1844,15 @@ "port": "outlabel" }, "target": { - "block": "b6c8f712-100d-4e5b-b773-401e59278d7b", - "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "size": 24 + "block": "7c537558-50ac-41a8-9b10-4566a9a592f4", + "port": "e92a60bf-869a-483a-a51e-bea2768ac7c0" }, "size": 24 }, { "source": { - "block": "b6c8f712-100d-4e5b-b773-401e59278d7b", - "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "size": 12 + "block": "7c537558-50ac-41a8-9b10-4566a9a592f4", + "port": "b101c6bb-0699-447a-9c6f-e0caa7726fdb" }, "target": { "block": "bbb80859-17b4-493c-8090-b026a462d93e", @@ -1876,8 +1867,7 @@ }, "target": { "block": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", - "port": "a8691f68-8aa5-4cfe-a84f-a6a9010e3211", - "size": 12 + "port": "a8691f68-8aa5-4cfe-a84f-a6a9010e3211" }, "vertices": [ { @@ -1894,8 +1884,7 @@ }, "target": { "block": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", - "port": "74a62521-e0ae-4e8a-91b8-ca632dacf5ea", - "size": 12 + "port": "74a62521-e0ae-4e8a-91b8-ca632dacf5ea" }, "size": 12 }, @@ -1906,8 +1895,7 @@ }, "target": { "block": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", - "port": "36bde024-b4d5-447f-baca-32fdfaccd1b3", - "size": 12 + "port": "36bde024-b4d5-447f-baca-32fdfaccd1b3" }, "vertices": [ { @@ -1924,8 +1912,7 @@ }, "target": { "block": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", - "port": "5439f597-1d7a-450f-ba6c-56aeb4dacb0e", - "size": 12 + "port": "5439f597-1d7a-450f-ba6c-56aeb4dacb0e" }, "vertices": [ { @@ -1947,8 +1934,8 @@ }, { "source": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" + "block": "77a7ec04-3912-4c2a-8e28-fec23351f831", + "port": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d" }, "target": { "block": "66d901ac-25da-4b75-95e7-26134253afcb", @@ -1972,8 +1959,8 @@ "port": "constant-out" }, "target": { - "block": "077d1ee1-8710-405c-a8cd-ca8d77c23c4f", - "port": "608882f4-bffa-4cac-940f-99f60d98ad53" + "block": "d10de949-3e51-487b-9940-c89f8806411c", + "port": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd" } }, { @@ -1982,7 +1969,7 @@ "port": "92dade38-46ec-4714-b28b-f4bb1aeca992" }, "target": { - "block": "409d054f-820e-44d1-9997-c72117d07134", + "block": "cd1eeba7-a38d-4d58-8b9e-7f0b1d863375", "port": "4329f2d6-e1ce-4034-becb-e7fda9e134a8" }, "size": 16 @@ -1993,36 +1980,15 @@ "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" }, "target": { - "block": "07cc51bb-fb82-4c5f-8d7e-5a1e0845fa6c", + "block": "b7c5b4e9-42dc-454a-82c8-5ce50aea17f2", "port": "42537563-f4f5-4a36-abbb-3a8111a7cd98" }, "size": 8 }, { "source": { - "block": "444b9f71-281a-45cd-89a3-462755c47396", - "port": "constant-out" - }, - "target": { - "block": "0e62c680-dd9b-41ba-89d4-f6a83448841c", - "port": "136e8d6d-892a-4f14-8d6d-0c5bc6c3e844" - }, - "vertices": [] - }, - { - "source": { - "block": "0e62c680-dd9b-41ba-89d4-f6a83448841c", - "port": "c138a610-b61f-4e7c-bb8a-c4f3b0b9f95c" - }, - "target": { - "block": "ae90ba83-a1e1-4044-8d63-fc490c62f916", - "port": "dbc43d59-e4ef-4479-9839-544d60c1d496" - } - }, - { - "source": { - "block": "ae90ba83-a1e1-4044-8d63-fc490c62f916", - "port": "cc2a889d-36b3-4d91-bc24-58eb2b4d4cfc" + "block": "f5661f51-478d-41cb-ac77-e4fa04aab81a", + "port": "40941bd2-014e-4fca-8f0f-7769b8567ac0" }, "target": { "block": "b17e8a31-ae71-4ec7-ab12-4c94aeccf36e", @@ -2032,8 +1998,8 @@ }, { "source": { - "block": "ae90ba83-a1e1-4044-8d63-fc490c62f916", - "port": "cc2a889d-36b3-4d91-bc24-58eb2b4d4cfc" + "block": "f5661f51-478d-41cb-ac77-e4fa04aab81a", + "port": "40941bd2-014e-4fca-8f0f-7769b8567ac0" }, "target": { "block": "73087129-f665-4161-96d0-1ae0ebe9e852", @@ -2047,20 +2013,40 @@ "port": "8d1e67f2-6537-4066-9fad-614e35f1e2e2" }, "target": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "5b2833db-e0ab-43e7-bb2b-528f9940baf6" + "block": "77a7ec04-3912-4c2a-8e28-fec23351f831", + "port": "56c80258-8515-47c4-98b9-af247b8fa77b" }, "size": 12 }, { "source": { - "block": "c7c9f1d7-7997-44d8-8ca3-c85adcc0872a", - "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" + "block": "77a7ec04-3912-4c2a-8e28-fec23351f831", + "port": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d" }, "target": { "block": "fafd8e27-4c23-42a6-92c2-543f36646511", "port": "in" } + }, + { + "source": { + "block": "ab444b41-c371-4ca2-ae6a-bbc8e2e8f6b4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f5661f51-478d-41cb-ac77-e4fa04aab81a", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + } + }, + { + "source": { + "block": "444b9f71-281a-45cd-89a3-462755c47396", + "port": "constant-out" + }, + "target": { + "block": "ab444b41-c371-4ca2-ae6a-bbc8e2e8f6b4", + "port": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10" + } } ] } @@ -2160,122 +2146,192 @@ } } }, - "27b197ddfe28a2c9581a304b0ccd015cc15cf26e": { + "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { "package": { - "name": "PDM-1bit-DAC", - "version": "0.1", - "description": "Pulse-density modulated 1-bit DAC", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588403710364 + "name": "Constante-8bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", - "type": "basic.input", + "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "k", + "range": "[7:0]", + "size": 8 }, "position": { - "x": -8, - "y": 64 + "x": 960, + "y": 248 } }, { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "type": "basic.inputLabel", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "", + "value": "0", + "local": false }, "position": { - "x": 136, - "y": 64 + "x": 728, + "y": 128 } }, { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "type": "basic.outputLabel", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 912, - "y": 168 - } - }, - { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 128, - "y": 208 + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 } - }, + } + ], + "wires": [ { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "type": "basic.output", - "data": { - "name": "out" + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" }, - "position": { - "x": 1192, - "y": 256 + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { - "id": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "data_next", - "range": "[11:0]", - "size": 12 + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, - "position": { - "x": 136, - "y": 320 - } - }, + "target": { + "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "4620ea20125ce2c6b0f7ac704483dd0662ce25b6": { + "package": { + "name": "12bits-Mux-4-1-bus", + "version": "0.0.1", + "description": "8-bits 4-1 multiplexer", + "author": "Juan Gonzalez-Gomez (obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2246.347%22%20height=%22182.066%22%20viewBox=%220%200%2043.450545%20170.68778%22%3E%3Cpath%20d=%22M42.044%2021.324c0-7.134-3.893-13.724-10.206-17.275a20.674%2020.674%200%200%200-20.365.08C5.189%207.728%201.349%2014.347%201.407%2021.481v127.723c-.058%207.135%203.782%2013.755%2010.066%2017.355a20.674%2020.674%200%200%200%2020.365.079c6.313-3.551%2010.206-10.14%2010.206-17.275z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%2270.768%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%2270.768%22%3E2%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.018%22%20y=%2227.719%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.018%22%20y=%2227.719%22%3E3%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%22113.534%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%22113.534%22%3E1%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%22156.655%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%22156.655%22%3E0%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", - "type": "basic.outputLabel", + "id": "5439f597-1d7a-450f-ba6c-56aeb4dacb0e", + "type": "basic.input", "data": { - "blockColor": "navy", - "name": "din", + "name": "i3", "range": "[11:0]", - "oldBlockColor": "navy", - "size": 12 + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, "position": { - "x": 344, - "y": 368 + "x": -704, + "y": -112 } }, { - "id": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "type": "basic.inputLabel", + "id": "36bde024-b4d5-447f-baca-32fdfaccd1b3", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "data_next", + "name": "i2", "range": "[11:0]", "pins": [ { @@ -2339,32 +2395,94 @@ "value": "" } ], - "virtual": true + "virtual": false, + "clock": false }, "position": { - "x": 824, - "y": 384 + "x": -704, + "y": -56 } }, { - "id": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "type": "basic.input", + "id": "8d1e67f2-6537-4066-9fad-614e35f1e2e2", + "type": "basic.output", "data": { - "name": "din", + "name": "o", "range": "[11:0]", - "clock": false, - "size": 12 + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false }, "position": { - "x": 0, - "y": 520 + "x": -40, + "y": -8 } }, { - "id": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "type": "basic.inputLabel", + "id": "74a62521-e0ae-4e8a-91b8-ca632dacf5ea", + "type": "basic.input", "data": { - "name": "din", + "name": "i1", "range": "[11:0]", "pins": [ { @@ -2428,451 +2546,664 @@ "value": "" } ], - "virtual": true, - "oldBlockColor": "navy", - "blockColor": "navy" + "virtual": false, + "clock": false }, "position": { - "x": 160, - "y": 520 + "x": -704, + "y": -8 } }, { - "id": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "type": "322ac6e3834f854f1ff8aaea07062751a7577cbf", - "position": { - "x": 288, - "y": 272 + "id": "a8691f68-8aa5-4cfe-a84f-a6a9010e3211", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[11:0]", + "pins": [ + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", "position": { - "x": 488, - "y": 288 - }, - "size": { - "width": 96, - "height": 64 + "x": -704, + "y": 40 } }, { - "id": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "type": "117c154c9710e7538dc4149a2241691940cc4a09", - "position": { - "x": 688, - "y": 288 + "id": "bdcce1e9-caca-4175-ae37-8e9e7850526b", + "type": "basic.input", + "data": { + "name": "sel", + "range": "[1:0]", + "clock": false, + "size": 2 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", "position": { - "x": 1048, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 + "x": -704, + "y": 96 } }, { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", - "type": "basic.info", + "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "type": "basic.code", "data": { - "info": "Accumulator", - "readonly": true + "code": "//-- 4-1 mux \n\nassign o = (s == 2'b00) ? i0 :\n (s == 2'b01) ? i1 :\n (s == 2'b10) ? i2 : i3;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3", + "range": "[11:0]", + "size": 12 + }, + { + "name": "i2", + "range": "[11:0]", + "size": 12 + }, + { + "name": "i1", + "range": "[11:0]", + "size": 12 + }, + { + "name": "i0", + "range": "[11:0]", + "size": 12 + }, + { + "name": "s", + "range": "[1:0]", + "size": 2 + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } }, "position": { - "x": 304, - "y": 216 + "x": -464, + "y": -104 }, "size": { - "width": 120, - "height": 40 + "width": 352, + "height": 256 } - }, + } + ], + "wires": [ { - "id": "12428847-e480-477f-be65-13b493b6c88c", - "type": "basic.info", - "data": { - "info": "Add the incoming sample \nto the accumulator", - "readonly": true + "source": { + "block": "bdcce1e9-caca-4175-ae37-8e9e7850526b", + "port": "out" }, - "position": { - "x": 464, - "y": 216 + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "s" }, - "size": { - "width": 200, - "height": 56 - } + "size": 2 }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", - "type": "basic.info", - "data": { - "info": "Incoming sample", - "readonly": true + "source": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "o" }, - "position": { - "x": 48, - "y": 488 + "target": { + "block": "8d1e67f2-6537-4066-9fad-614e35f1e2e2", + "port": "in" }, - "size": { - "width": 152, - "height": 40 - } + "size": 12 }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", - "type": "basic.info", - "data": { - "info": "Accumulator overflow \ncarry set (MSB)", - "readonly": true + "source": { + "block": "a8691f68-8aa5-4cfe-a84f-a6a9010e3211", + "port": "out" }, - "position": { - "x": 696, - "y": 216 + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i0" }, - "size": { - "width": 184, - "height": 56 - } + "size": 12 }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", - "type": "basic.info", - "data": { - "info": "Pulse-density modulated \noutput signal", - "readonly": true + "source": { + "block": "74a62521-e0ae-4e8a-91b8-ca632dacf5ea", + "port": "out" }, - "position": { - "x": 1184, - "y": 208 + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i1" }, - "size": { - "width": 200, - "height": 56 - } + "size": 12 }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", - "type": "basic.info", - "data": { - "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", - "readonly": true + "source": { + "block": "36bde024-b4d5-447f-baca-32fdfaccd1b3", + "port": "out" }, - "position": { - "x": 320, - "y": -256 + "target": { + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i2" }, - "size": { - "width": 760, - "height": 272 - } + "size": 12 }, - { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", - "type": "basic.info", - "data": { - "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", - "readonly": true - }, - "position": { - "x": 1272, - "y": -248 - }, - "size": { - "width": 496, - "height": 184 - } - } - ], - "wires": [ { "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "block": "5439f597-1d7a-450f-ba6c-56aeb4dacb0e", "port": "out" }, "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "port": "inlabel" + "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "port": "i3" }, - "vertices": [] - }, + "size": 12 + } + ] + } + } + }, + "9bcc065f9661d93d2037a3bccf05b884964e1f4b": { + "package": { + "name": "PDM-1bit-DAC", + "version": "0.3", + "description": "Pulse-density modulated 1-bit DAC", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588403710364 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" + "id": "ef451927-f90b-424b-ad34-6ac114143513", + "type": "basic.input", + "data": { + "name": "", + "clock": true }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "position": { + "x": 56, + "y": 1072 } }, { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "port": "outlabel" + "id": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" }, - "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + "position": { + "x": 200, + "y": 1072 } }, { - "source": { - "block": "5b2833db-e0ab-43e7-bb2b-528f9940baf6", - "port": "out", - "size": 12 - }, - "target": { - "block": "000d3457-002d-481b-b0e9-6b0d56f2d3f0", - "port": "inlabel" + "id": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "size": 12 + "position": { + "x": 976, + "y": 1176 + } }, { - "source": { - "block": "3a6c4612-d228-48ce-9bbe-4a3773c2434c", - "port": "outlabel" - }, - "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", - "size": 12 + "id": "309765f3-0e44-49b6-98a7-c4490b748153", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "size": 12 + "position": { + "x": 192, + "y": 1216 + } }, { - "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "d3293383-456c-471c-974d-342cc97e652b", - "size": 12 - }, - "target": { - "block": "8f266bcf-8a06-46b6-bcd3-889f5437c6d1", - "port": "inlabel" + "id": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "type": "basic.output", + "data": { + "name": "out" }, - "size": 12 + "position": { + "x": 1256, + "y": 1280 + } }, { - "source": { - "block": "b125605d-82e1-4e84-a946-9a0d4a1dd7be", - "port": "outlabel" - }, - "target": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "id": "626fe170-4ece-4558-9a88-104a4ad5e559", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data_next", + "range": "[11:0]", "size": 12 }, - "size": 12 - }, - { - "source": { - "block": "4e5a8d73-966d-43a5-ac19-d5636524652c", - "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" - }, - "target": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" - }, - "vertices": [], - "size": 12 - }, - { - "source": { - "block": "9a7470fb-3e34-4562-a3e5-82e1d688d764", - "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" - }, - "target": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "ecf75200-3425-42bf-b093-a4b731cadab6" - }, - "vertices": [], - "size": 13 - }, - { - "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" - }, - "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" - }, - "vertices": [] + "position": { + "x": 200, + "y": 1328 + } }, { - "source": { - "block": "3df0f00d-6a05-4c59-8816-95fa38cff159", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" - }, - "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - }, - "vertices": [] - } - ] - } - } - }, - "322ac6e3834f854f1ff8aaea07062751a7577cbf": { - "package": { - "name": "Registro-12bits-system", - "version": "0.1", - "description": "Registro de 12 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", + "id": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "type": "basic.outputLabel", "data": { - "name": "", - "clock": true + "blockColor": "navy", + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "size": 12 }, "position": { - "x": 120, - "y": 192 + "x": 408, + "y": 1376 } }, { - "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", - "type": "basic.output", + "id": "d8912692-c881-4f65-afd4-ef323a15a78d", + "type": "basic.inputLabel", "data": { - "name": "", + "blockColor": "fuchsia", + "name": "data_next", "range": "[11:0]", "size": 12 }, "position": { - "x": 632, - "y": 248 + "x": 888, + "y": 1392 } }, { - "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "id": "56c80258-8515-47c4-98b9-af247b8fa77b", "type": "basic.input", "data": { - "name": "", + "name": "din", "range": "[11:0]", "clock": false, "size": 12 }, "position": { - "x": 120, - "y": 296 + "x": 64, + "y": 1528 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "0", - "local": false + "name": "din", + "range": "[11:0]", + "oldBlockColor": "navy", + "blockColor": "navy", + "size": 12 }, "position": { - "x": 376, - "y": 56 + "x": 224, + "y": 1528 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 12;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[11:0]", - "size": 12 - } - ], - "out": [ - { - "name": "q", - "range": "[11:0]", - "size": 12 - } - ] - } - }, + "id": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "type": "29c9a45c6996a3804d02693a6f8a8daf6a157256", "position": { - "x": 280, - "y": 176 + "x": 552, + "y": 1296 }, "size": { - "width": 288, - "height": 200 + "width": 96, + "height": 64 } - } - ], - "wires": [ + }, { - "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" - }, - "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "id": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "type": "117c154c9710e7538dc4149a2241691940cc4a09", + "position": { + "x": 752, + "y": 1296 }, - "vertices": [] + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3f812810-f7f6-44f4-b61d-0771051d1786", + "type": "basic.info", + "data": { + "info": "Accumulator", + "readonly": true + }, + "position": { + "x": 368, + "y": 1224 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "28dbb1a3-bb5f-451d-8c33-a1384957e4fb", + "type": "basic.info", + "data": { + "info": "Add the incoming sample \nto the accumulator", + "readonly": true + }, + "position": { + "x": 528, + "y": 1224 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "8136d2e2-29e8-49a3-90c9-eab2965af74e", + "type": "basic.info", + "data": { + "info": "Incoming sample", + "readonly": true + }, + "position": { + "x": 112, + "y": 1496 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "1276c60c-b629-443b-9d74-635583ec44f0", + "type": "basic.info", + "data": { + "info": "Accumulator overflow \ncarry set (MSB)", + "readonly": true + }, + "position": { + "x": 760, + "y": 1224 + }, + "size": { + "width": 184, + "height": 56 + } + }, + { + "id": "344e3fb1-c7dd-4ec8-92f4-c930614ff803", + "type": "basic.info", + "data": { + "info": "Pulse-density modulated \noutput signal", + "readonly": true + }, + "position": { + "x": 1248, + "y": 1216 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "ad75e91f-5736-4b0a-9305-0228207b976a", + "type": "basic.info", + "data": { + "info": "# Pulse-density modulated Digital to Analog Converter \n\n* Pulse-density modulated DAC\n \n This module drives a digital output at an average level equivalent \n to the data-in (din) value. It can be filtered to an analog output \n using a low-pass filter (eg. an RC filter). \n\n* Principle of operation:\n\nThis works by repeatedly adding the input (din) value to an accumulator of the \nsame width, and setting the output to \"1\" if the accumulator overflows. \nThe remainder after overflow is left in the accumulator for the next cycle, \nand has the effect of averaging out any errors. \n\n(The accumulator has to be an extra bit wider than data-in to accomodate \nthe overflow (output) bit). \n", + "readonly": true + }, + "position": { + "x": 384, + "y": 752 + }, + "size": { + "width": 760, + "height": 272 + } + }, + { + "id": "84f30124-bb0d-465a-91f3-789d855aaba3", + "type": "basic.info", + "data": { + "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "readonly": true + }, + "position": { + "x": 1336, + "y": 760 + }, + "size": { + "width": 496, + "height": 184 + } + }, + { + "id": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 1112, + "y": 1264 + }, + "size": { + "width": 96, + "height": 64 + } }, + { + "id": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "type": "4a3e5082790168ab13226b6a032ed6e45e656420", + "position": { + "x": 352, + "y": 1280 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "ef451927-f90b-424b-ad34-6ac114143513", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "368745ec-a341-4b73-a8c7-44fdef0d201d", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "56c80258-8515-47c4-98b9-af247b8fa77b", + "port": "out", + "size": 12 }, "target": { - "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", - "port": "in" + "block": "c41a8459-1b3e-4bb5-b825-76aef094597b", + "port": "inlabel" }, + "vertices": [], "size": 12 }, { "source": { - "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", - "port": "out" + "block": "5bf6574b-ea67-4a1a-bf71-6ef83373109f", + "port": "outlabel" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "129075ea-3d36-456a-86dc-fb0ef0f99ff6", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "d3293383-456c-471c-974d-342cc97e652b", + "size": 12 + }, + "target": { + "block": "d8912692-c881-4f65-afd4-ef323a15a78d", + "port": "inlabel" + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "a3f5b136-3870-4db7-9fb0-ddb608653902", + "port": "outlabel" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [] + }, + { + "source": { + "block": "309765f3-0e44-49b6-98a7-c4490b748153", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" + }, + "vertices": [] + }, + { + "source": { + "block": "626fe170-4ece-4558-9a88-104a4ad5e559", + "port": "outlabel" + }, + "target": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "size": 12 + }, + "vertices": [], + "size": 12 + }, + { + "source": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "54fd7dec-c4c3-481f-8ea6-ed9039e5496d" + }, + "target": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "ecf75200-3425-42bf-b093-a4b731cadab6" + }, + "vertices": [], + "size": 13 + }, + { + "source": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "10b8133a-fc07-4bd5-9c90-9e7f13adcf8d", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "9567f7ab-0a3e-46ee-9f7e-ef5a851fae98", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + }, + "target": { + "block": "9390d5d8-d0fd-4a55-9461-32c7d886e7ec", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "f8ccae73-eebf-40dc-aabe-e4726209d30d", + "port": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2" + }, + "target": { + "block": "66506529-500d-4c4d-ba99-a40ed72c4c5f", + "port": "559fc193-0f4c-40b0-89cf-1e9e3bcaec2b" }, + "vertices": [], "size": 12 } ] @@ -3457,17 +3788,28 @@ } } }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, { "id": "3943e194-090b-4553-9df3-88bc4b17abc2", "type": "basic.input", @@ -3476,8 +3818,8 @@ "clock": true }, "position": { - "x": 192, - "y": 136 + "x": 208, + "y": 184 } }, { @@ -3487,8 +3829,8 @@ "name": "" }, "position": { - "x": 680, - "y": 184 + "x": 816, + "y": 232 } }, { @@ -3499,8 +3841,8 @@ "clock": false }, "position": { - "x": 192, - "y": 232 + "x": 208, + "y": 280 } }, { @@ -3512,7 +3854,7 @@ "local": false }, "position": { - "x": 456, + "x": 512, "y": 64 } }, @@ -3520,7 +3862,7 @@ "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", "type": "basic.code", "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", "params": [ { "name": "INI" @@ -3547,12 +3889,108 @@ "y": 168 }, "size": { - "width": 232, - "height": 88 + "width": 352, + "height": 192 } - } - ], - "wires": [ + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 + } + } + ], + "wires": [ { "source": { "block": "3943e194-090b-4553-9df3-88bc4b17abc2", @@ -3597,32 +4035,58 @@ } } }, - "5ad97e1e35a295d0ec722addd6df97c806fc6b7c": { + "4a3e5082790168ab13226b6a032ed6e45e656420": { "package": { - "name": "Constante-8bits", - "version": "0.0.1", - "description": "Valor genérico constante, de 8 bits. Su valor se introduce como parámetro. Por defecto vale 0", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "Registro-12bits-system", + "version": "0.2", + "description": "Registro de 12 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 120, + "y": 192 + } + }, + { + "id": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", "type": "basic.output", "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "", + "range": "[11:0]", + "size": 12 }, "position": { - "x": 960, + "x": 632, "y": 248 } }, { - "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "id": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "type": "basic.input", + "data": { + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 120, + "y": 296 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", "type": "basic.constant", "data": { "name": "", @@ -3630,835 +4094,691 @@ "local": false }, "position": { - "x": 728, - "y": 128 + "x": 376, + "y": 56 } }, { - "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", "type": "basic.code", "data": { - "code": "assign k = VALUE;", + "code": "localparam N = 12;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", "params": [ { - "name": "VALUE" + "name": "INI" } ], "ports": { - "in": [], + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[11:0]", + "size": 12 + } + ], "out": [ { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "q", + "range": "[11:0]", + "size": 12 } ] } }, "position": { - "x": 672, - "y": 248 + "x": 280, + "y": 176 }, "size": { - "width": 208, - "height": 64 + "width": 288, + "height": 200 } } ], "wires": [ { "source": { - "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", "port": "constant-out" }, "target": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "VALUE" + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" } }, { "source": { - "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", - "port": "k" + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" }, "target": { - "block": "a9d6830d-5cc7-4f63-a068-35181d2537bc", + "block": "a225cbb1-1735-4c72-ada2-bd1772d0f7c2", "port": "in" }, - "size": 8 + "size": 12 + }, + { + "source": { + "block": "72dd5873-fe3f-4d8d-b4bd-e078bd20cc06", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 12 } ] } } }, - "b874a1c418f63978103a0af886f5f5a730f47061": { + "aa64b48aa628d28f9ccb00cf45e45d11179e60e8": { "package": { - "name": "Generic-comp", - "version": "0.1", - "description": "Componente genérico", + "name": "Counter-x02", + "version": "0.2", + "description": "Counter-x02: 2-bits counter", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588419319540 + "image": "%3Csvg%20width=%22398.636%22%20height=%22254.842%22%20viewBox=%220%200%20105.47239%2067.427032%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-40.24%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-29.298)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 }, "design": { "graph": { "blocks": [ { - "id": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 112, - "y": -160 + "x": 200, + "y": -64 } }, { - "id": "3c21d0b8-1500-4302-8605-4d6ed5629399", + "id": "0a772657-8018-424d-8f04-75d3ffff3692", "type": "basic.inputLabel", "data": { "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "clk" }, "position": { - "x": 256, - "y": -160 + "x": 328, + "y": -64 } }, { - "id": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", "type": "basic.outputLabel", "data": { "blockColor": "yellow", "name": "clk", - "oldBlockColor": "yellow" + "oldBlockColor": "fuchsia" }, "position": { - "x": 840, - "y": 128 + "x": 496, + "y": 24 } }, { - "id": "a9b6abeb-3c2a-4177-81bb-264e0469a780", - "type": "basic.outputLabel", + "id": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 456, - "y": 176 + "x": 1176, + "y": 80 } }, { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", + "id": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", + "type": "basic.outputLabel", "data": { - "name": "" + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, "position": { - "x": 1160, - "y": 192 + "x": 1008, + "y": 80 } }, { - "id": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "type": "basic.constant", + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", "data": { - "name": "FULL_SCALE", - "value": "2**26", - "local": true + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 152, - "y": 112 + "x": 432, + "y": 80 } }, { - "id": "608882f4-bffa-4cac-940f-99f60d98ad53", - "type": "basic.constant", + "id": "b10c8b56-197d-4efa-8511-172627cbe0ed", + "type": "basic.outputLabel", "data": { - "name": "sys", - "value": "12", - "local": false - }, - "position": { - "x": 304, - "y": 112 - } - }, - { - "id": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 992, - "y": 192 + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "cc596b64-394a-42a6-8d89-6c3835af332b", - "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", "position": { - "x": 800, - "y": 224 - }, - "size": { - "width": 96, - "height": 64 + "x": 120, + "y": 136 } }, { - "id": "67203b56-8451-47ba-959a-75491b1726b7", - "type": "88097a55204933bef05c6297042b1543bf8e94a9", - "position": { - "x": 256, - "y": 240 + "id": "b8661772-15e0-411e-bcc1-716874795e2a", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[1:0]", + "blockColor": "fuchsia", + "size": 2 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "type": "81a70a6cf9e19133ae28596244673dd8b334975a", "position": { - "x": 584, - "y": 224 - }, - "size": { - "width": 96, - "height": 64 + "x": 808, + "y": 152 } }, { - "id": "32f7ed09-9081-4108-bb42-b2a237a9f44f", - "type": "basic.info", + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", "data": { - "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", - "readonly": true + "name": "max" }, "position": { - "x": 256, - "y": 16 - }, - "size": { - "width": 376, - "height": 72 + "x": 1176, + "y": 168 } }, { - "id": "c08702d3-eaf3-4131-a428-78c06a027c37", - "type": "basic.info", + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", "data": { - "info": "## 1MHZ Heart", - "readonly": true + "blockColor": "fuchsia", + "name": "max" }, "position": { - "x": 504, - "y": -200 - }, - "size": { - "width": 136, - "height": 40 + "x": 1016, + "y": 168 } }, { - "id": "303020b0-64ad-4fe4-ac69-6d2d657cae25", - "type": "basic.info", + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", "data": { - "info": "Constant value: \nk = Full_scale / Sys_clock", - "readonly": true + "name": "", + "clock": false }, "position": { - "x": 360, - "y": 288 - }, - "size": { - "width": 224, - "height": 64 + "x": 208, + "y": 312 } }, { - "id": "b3ce1313-5336-44c8-854a-87ac1797e696", - "type": "basic.info", - "data": { - "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", - "readonly": true - }, + "id": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "type": "92c0028a05335aa1a4eeb53f6dd67c62d963872b", "position": { - "x": 520, - "y": 120 + "x": 272, + "y": 136 }, "size": { - "width": 336, - "height": 56 + "width": 96, + "height": 64 } }, { - "id": "ff952d5a-c406-4ca7-9cb8-1a31ac75f4f6", - "type": "basic.info", - "data": { - "info": "The output is a tic", - "readonly": true - }, + "id": "643da789-b6ea-4433-a872-ac2a85d1d46b", + "type": "bdd2a85e75095a0107a71364eb3a62c90d01b82d", "position": { - "x": 1016, - "y": 144 + "x": 632, + "y": 136 }, "size": { - "width": 184, - "height": 48 + "width": 96, + "height": 96 } } ], "wires": [ { "source": { - "block": "7d7115ac-cdd4-4c52-8aa7-1291da5b1cd2", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "3c21d0b8-1500-4302-8605-4d6ed5629399", + "block": "0a772657-8018-424d-8f04-75d3ffff3692", "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "a9b6abeb-3c2a-4177-81bb-264e0469a780", + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", "port": "outlabel" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" + "block": "643da789-b6ea-4433-a872-ac2a85d1d46b", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" } }, { "source": { - "block": "682c21c7-1bdb-4f70-8ec2-26e0a83a1e00", - "port": "outlabel" + "block": "643da789-b6ea-4433-a872-ac2a85d1d46b", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420", + "size": 2 }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "4196184b-4a60-493b-bcc6-c95958483683" - } + "block": "b8661772-15e0-411e-bcc1-716874795e2a", + "port": "inlabel" + }, + "size": 2 }, { "source": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + "block": "8d8c0ef0-b8a7-4b79-bd04-dab4d177b39f", + "port": "outlabel" }, "target": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "block": "40941bd2-014e-4fca-8f0f-7769b8567ac0", + "port": "in", + "size": 2 }, - "vertices": [] + "size": 2 }, { "source": { - "block": "79b585b1-6e52-499b-a8d2-dba4954d9555", - "port": "constant-out" + "block": "b10c8b56-197d-4efa-8511-172627cbe0ed", + "port": "outlabel" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "56066111-a701-4e2c-b64c-aeed7831cf00" + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "5e178803-3b15-4a9f-a784-a729479a892c", + "size": 2 }, - "vertices": [] + "size": 2 }, { "source": { - "block": "608882f4-bffa-4cac-940f-99f60d98ad53", - "port": "constant-out" + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" - }, - "vertices": [] + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } }, { "source": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" }, "target": { - "block": "cc596b64-394a-42a6-8d89-6c3835af332b", - "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" - }, - "vertices": [], - "size": 26 + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } }, { "source": { - "block": "67203b56-8451-47ba-959a-75491b1726b7", - "port": "b528263e-f7ac-434f-b590-7de7a093d85c" + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" }, "target": { - "block": "ae3c46db-33c7-4778-9bd0-f7470ee08879", - "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" - }, - "vertices": [], - "size": 26 + "block": "643da789-b6ea-4433-a872-ac2a85d1d46b", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } }, { "source": { - "block": "eda6534c-8568-4fad-8088-1fa91ec36dc0", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "670ca6e5-6365-48cc-bc76-b1ac3efd3427", + "port": "27bf261d-2582-4ab9-a62d-ff91f1bd855e" }, "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "block": "643da789-b6ea-4433-a872-ac2a85d1d46b", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb" + }, + "size": 2 } ] } } }, - "8e2728307baccbf26c01cdb87bcfba8ca64a435c": { + "92c0028a05335aa1a4eeb53f6dd67c62d963872b": { "package": { - "name": "Subida", + "name": "Inc1-2bits", "version": "0.1", - "description": "Detector de flanco de subida. Emite un tic cuando detecta un flanco ascendente", + "description": "Inc1-2bit: Increment a 2-bits number by one", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22363.337%22%20height=%22251.136%22%20viewBox=%220%200%2096.132868%2066.446441%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22red%22%20fill-rule=%22evenodd%22%20stroke=%22red%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-63.113%20-50.902)%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M76.611%2083.336l6.027%207.974-5.055%206.03%201.75%201.557M75.371%2083.53l-3.5%207.975-7.97%201.556.583%202.528%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M75.907%2083.53V53.588%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M65.118%2063.338l10.688-10.452%2010.351%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cpath%20d=%22M123.88%2069.103c2.17%203.317%204.013%202.718%205.68%200%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22/%3E%3Cpath%20d=%22M132.326%2095.38V51.614h-11.225v43.497%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.323%22%20stroke-linecap=%22round%22/%3E%3Cellipse%20cx=%22112.489%22%20cy=%2297.866%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22-140.807%22%20cy=%2291.678%22%20rx=%227.009%22%20ry=%222.635%22%20transform=%22scale(-1%201)%20rotate(-1.418)%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%22.265%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M124.8%2059.416v3.875M128.39%2059.416v3.875%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.529%22/%3E%3Cpath%20d=%22M85.794%2074.097h21.381%22%20fill=%22red%22%20stroke=%22red%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2062.024%2042.68)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "4196184b-4a60-493b-bcc6-c95958483683", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "5e178803-3b15-4a9f-a784-a729479a892c", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 152, - "y": 176 + "x": 272, + "y": -152 } }, { - "id": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", + "id": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", "type": "basic.output", "data": { - "name": "" + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { "x": 624, - "y": 200 + "y": -104 } }, { - "id": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "type": "basic.input", + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", "data": { "name": "", - "clock": false + "value": "1", + "local": true }, "position": { - "x": 152, - "y": 232 + "x": 456, + "y": -256 } }, { - "id": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "type": "basic.code", - "data": { - "code": "reg q = 0;\n\nalways @(posedge clk)\n q <= i;\n \nassign o = (~q & i); ", - "params": [], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "i" - } - ], - "out": [ - { - "name": "o" - } - ] - } - }, + "id": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "type": "c9ab7e777fd189714faa6d5b4bd942b65e95ec96", "position": { - "x": 336, - "y": 176 + "x": 456, + "y": -152 }, "size": { - "width": 232, - "height": 112 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "b6426b43-dcda-418d-b6d3-4764b5bc0b25", - "port": "out" + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "i" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { "source": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "o" + "block": "5e178803-3b15-4a9f-a784-a729479a892c", + "port": "out" + }, + "target": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524" + }, + "size": 2 + }, + { + "source": { + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "359671e0-414b-4f8c-a2cb-51870ecf2a48" }, "target": { - "block": "1d2e403f-0fa6-41fd-83a9-4f309eadd855", + "block": "27bf261d-2582-4ab9-a62d-ff91f1bd855e", "port": "in" - } + }, + "size": 2 }, { "source": { - "block": "4196184b-4a60-493b-bcc6-c95958483683", - "port": "out" + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" }, "target": { - "block": "3b0eca37-3439-41c9-99e7-7ff1e56bb528", - "port": "clk" + "block": "aabc578b-a235-4264-abd3-d3514fd24ca8", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" } } ] } } }, - "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { + "c9ab7e777fd189714faa6d5b4bd942b65e95ec96": { "package": { - "name": "split-1-25-bus", + "name": "AdderK-2bits", "version": "0.1", - "description": "Split the 26bits into 1 bits an a 25-bits bus", + "description": "AdderK-2bit: Adder of 2-bit operand and 2-bit constant", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "o1" + "name": "c" }, "position": { - "x": 584, - "y": 144 + "x": 624, + "y": -168 } }, { - "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", - "type": "basic.input", + "id": "359671e0-414b-4f8c-a2cb-51870ecf2a48", + "type": "basic.output", "data": { - "name": "i", - "range": "[25:0]", - "clock": false, - "size": 26 - }, + "name": "s", + "range": "[1:0]", + "size": 2 + }, "position": { - "x": 136, - "y": 200 + "x": 624, + "y": -104 } }, { - "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "type": "basic.output", + "id": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", + "type": "basic.input", "data": { - "name": "o0", - "range": "[24:0]", - "size": 25 + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 592, - "y": 248 + "x": 296, + "y": -72 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", "data": { - "code": "assign o1 = i[25];\nassign o0 = i[24:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "o1" - }, - { - "name": "o0", - "range": "[24:0]", - "size": 25 - } - ] - } + "name": "", + "value": "0", + "local": false }, "position": { - "x": 296, - "y": 176 + "x": 288, + "y": -272 + } + }, + { + "id": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "type": "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72", + "position": { + "x": 288, + "y": -168 }, "size": { - "width": 208, - "height": 112 + "width": 96, + "height": 64 + } + }, + { + "id": "3451f28c-1594-4ddd-86de-367246570bbd", + "type": "cea0c26776490be8bc88be8a8e38316b913c3f9d", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" }, "target": { - "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", - "port": "in" + "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "93329ae0-22ab-4462-a1f2-deeb2b54b4bd", + "port": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638" }, - "size": 25 + "target": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "832bed4b-5b7c-4907-96c3-dad907a2d346" + }, + "size": 2 }, { "source": { - "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "block": "6ba1d351-23c2-4ed6-8552-c1e2c7d65524", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "36b3baa3-fee7-4dfb-870f-870a128f5a28" }, - "size": 26 + "size": 2 + }, + { + "source": { + "block": "3451f28c-1594-4ddd-86de-367246570bbd", + "port": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40" + }, + "target": { + "block": "359671e0-414b-4f8c-a2cb-51870ecf2a48", + "port": "in" + }, + "size": 2 } ] } } }, - "88097a55204933bef05c6297042b1543bf8e94a9": { + "b6461bbbcd4dde3fe466b20c80dbe98c5cea8a72": { "package": { - "name": "contant-division", - "version": "0.1", - "description": "Calculate a new constant value dividing k1 / k2", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588415989667 + "name": "2-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 2-bits generic constant (0,1,2,3)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "id": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", "type": "basic.output", "data": { "name": "", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "range": "[1:0]", + "size": 2 }, "position": { - "x": 856, - "y": 104 + "x": 952, + "y": 248 } }, { - "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", "type": "basic.constant", "data": { - "name": "k1", - "value": "12", + "name": "", + "value": "0", "local": false }, "position": { - "x": 424, - "y": -24 - } - }, - { - "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "type": "basic.constant", - "data": { - "name": "k2", - "value": "12", - "local": false - }, - "position": { - "x": 624, - "y": -32 + "x": 728, + "y": 128 } }, { - "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", "type": "basic.code", "data": { - "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", + "code": "assign k = VALUE;", "params": [ { - "name": "K1" - }, - { - "name": "K2" + "name": "VALUE" } ], "ports": { @@ -4466,1599 +4786,1557 @@ "out": [ { "name": "k", - "range": "[25:0]", - "size": 26 + "range": "[1:0]", + "size": 2 } ] } }, "position": { - "x": 368, - "y": 80 + "x": 672, + "y": 248 }, "size": { - "width": 408, - "height": 104 + "width": 208, + "height": 64 } } ], "wires": [ { "source": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "k" - }, - "target": { - "block": "b528263e-f7ac-434f-b590-7de7a093d85c", - "port": "in" - }, - "size": 26 - }, - { - "source": { - "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", "port": "constant-out" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K1" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" } }, { "source": { - "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", - "port": "constant-out" + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" }, "target": { - "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", - "port": "K2" - } + "block": "6b687c8b-6b6d-49f4-8db5-dfa53c52d638", + "port": "in" + }, + "size": 2 } ] } } }, - "81a70a6cf9e19133ae28596244673dd8b334975a": { + "cea0c26776490be8bc88be8a8e38316b913c3f9d": { "package": { - "name": "26-bits-accumulator", + "name": "Adder-2bits", "version": "0.1", - "description": "26-bits accumulator", + "description": "Adder-2bits: Adder of two operands of 2 bits", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 672, + "y": -400 + } + }, + { + "id": "832bed4b-5b7c-4907-96c3-dad907a2d346", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 48, - "y": 40 + "x": -56, + "y": -344 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", - "type": "basic.inputLabel", + "id": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "s", + "range": "[1:0]", + "size": 2 }, "position": { - "x": 192, - "y": 40 + "x": 800, + "y": -272 } }, { - "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", - "type": "basic.output", + "id": "36b3baa3-fee7-4dfb-870f-870a128f5a28", + "type": "basic.input", "data": { "name": "", - "range": "[25:0]", - "size": 26 + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 1000, - "y": 136 + "x": -64, + "y": -144 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "id": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", + "position": { + "x": 88, + "y": -344 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "type": "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7", "position": { - "x": 280, - "y": 208 + "x": 80, + "y": -144 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "type": "basic.inputLabel", + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -144 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 472, + "y": -328 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "42fff3e2-b145-45d6-822e-c191bed120f5", + "type": "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9", + "position": { + "x": 640, + "y": -272 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "832bed4b-5b7c-4907-96c3-dad907a2d346", + "port": "out" + }, + "target": { + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 + }, + { + "source": { + "block": "36b3baa3-fee7-4dfb-870f-870a128f5a28", + "port": "out" + }, + "target": { + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "size": 2 + }, + { + "source": { + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "a97ce3c8-ce0d-4f1d-b360-801f1750652c", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + }, + "vertices": [ + { + "x": 264, + "y": -176 + } + ] + }, + { + "source": { + "block": "97532411-1f97-45c3-85ec-f5dbfb4d548e", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "42fff3e2-b145-45d6-822e-c191bed120f5", + "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" + }, + "target": { + "block": "04b481bc-9a8c-4faa-8dd4-9eb7f1064b40", + "port": "in" + }, + "size": 2 + } + ] + } + } + }, + "0dbcb9470111c4072304f196bb9e5ac39f4ccfb7": { + "package": { + "name": "Bus2-Split-all", + "version": "0.1", + "description": "Bus2-Split-all: Split the 2-bits bus into two wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "pins": [ - { - "index": "25", - "name": "", - "value": "" - }, - { - "index": "24", - "name": "", - "value": "" - }, - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "1" }, "position": { - "x": 824, - "y": 272 + "x": 552, + "y": 128 } }, { - "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "type": "basic.outputLabel", + "id": "a409d207-7594-4558-8e15-89712262cf5b", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "next", - "range": "[25:0]", - "size": 26 + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 }, "position": { - "x": 272, - "y": 272 + "x": 136, + "y": 184 } }, { - "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "type": "basic.input", + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", "data": { - "name": "inc", - "range": "[25:0]", - "clock": false, - "size": 26 + "name": "0" }, "position": { - "x": 16, - "y": 376 + "x": 552, + "y": 240 } }, { - "id": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "type": "335f07bcdf418bb67136b63c8cfc389233bbc50d", - "position": { - "x": 448, - "y": 256 + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[1:0]", + "size": 2 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", "position": { - "x": 648, - "y": 272 + "x": 296, + "y": 176 }, "size": { - "width": 96, - "height": 64 + "width": 208, + "height": 80 } } ], "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", - "port": "inlabel" - }, - "vertices": [] + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } }, { "source": { - "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "size": 26 - }, - "size": 26 + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } }, { "source": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "size": 26 + "block": "a409d207-7594-4558-8e15-89712262cf5b", + "port": "out" }, "target": { - "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", - "port": "inlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 26 - }, + "size": 2 + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", - "port": "outlabel" - }, - "target": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" - }, - "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "0c88add0-cbf5-4d14-967b-417400538a29" - }, - "vertices": [], - "size": 26 - }, - { - "source": { - "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", - "port": "out" - }, - "target": { - "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", - "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" - }, - "size": 26 - }, - { - "source": { - "block": "c9940fa3-2648-4900-8b5e-4f6a2cc1d3e9", - "port": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4" - }, - "target": { - "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", - "port": "in" - }, - "size": 26 - } - ] - } - } - }, - "335f07bcdf418bb67136b63c8cfc389233bbc50d": { - "package": { - "name": "26-bits-register", - "version": "0.1", - "description": "24-bits system register", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false }, "position": { - "x": 88, - "y": 192 + "x": 280, + "y": -224 } }, { - "id": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { - "name": "", - "range": "[25:0]", - "size": 26 + "name": "c" }, "position": { - "x": 640, - "y": 248 + "x": 616, + "y": -176 } }, { - "id": "5caf372e-197b-49a6-ac70-2ed7b225e74b", + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", "type": "basic.input", "data": { "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 + "clock": false }, "position": { - "x": 88, - "y": 296 + "x": 280, + "y": -152 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "type": "basic.constant", + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "s" }, "position": { - "x": 376, - "y": 56 + "x": 616, + "y": -96 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", - "data": { - "code": "localparam N = 26;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "q", - "range": "[25:0]", - "size": 26 - } - ] - } + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": 280, - "y": 176 + "x": 304, + "y": -64 }, "size": { - "width": 288, - "height": 200 + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" - }, - "vertices": [] + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] }, { "source": { - "block": "5caf372e-197b-49a6-ac70-2ed7b225e74b", - "port": "out" + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" - }, - "size": 26 + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "87aac1f4-c7a4-4029-ac94-81b99ab0cea4", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, - "size": 26 + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } } ] } } }, - "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { "package": { - "name": "sum-2op-26bits", + "name": "AdderC-1bit", "version": "0.1", - "description": "24-bits adder with two operands", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "0c88add0-cbf5-4d14-967b-417400538a29", - "type": "basic.input", + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 192, - "y": 144 + "x": 504, + "y": -208 } }, { - "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "type": "basic.output", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", "data": { - "name": "", - "range": "[25:0]", - "size": 26 + "name": "a", + "clock": false }, "position": { - "x": 672, - "y": 192 + "x": 136, + "y": -192 } }, { - "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", - "type": "basic.input", + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", "data": { - "name": "", - "range": "[25:0]", - "clock": false, - "size": 26 + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true }, "position": { - "x": 192, - "y": 256 + "x": 272, + "y": -192 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", - "type": "basic.code", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "code": "assign s = a + b;", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[25:0]", - "size": 26 - }, - { - "name": "b", - "range": "[25:0]", - "size": 26 - } - ], - "out": [ - { - "name": "s", - "range": "[25:0]", - "size": 26 - } - ] - } + "name": "c" }, "position": { - "x": 384, - "y": 192 + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" }, - "size": { - "width": 216, - "height": 64 + "position": { + "x": 504, + "y": -144 } - } - ], - "wires": [ + }, { - "source": { - "block": "0c88add0-cbf5-4d14-967b-417400538a29", - "port": "out" - }, - "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 26 - }, - { - "source": { - "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", - "port": "out" - }, - "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 26 - }, - { - "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" - }, - "target": { - "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", - "port": "in" - }, - "size": 26 - } - ] - } - } - }, - "772f42d85230a43faf163474e79bd25bdd1f2aba": { - "package": { - "name": "24-bits-accumulator CLONE", - "version": "0.1", - "description": "24-bits accumulator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", - "otid": 1588416830381 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false }, "position": { - "x": 48, - "y": 40 + "x": 136, + "y": -120 } }, { - "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "id": "65936289-69ce-4e26-be4e-44f8a3706934", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "fuchsia", + "name": "b", "pins": [ { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], "virtual": true }, "position": { - "x": 192, - "y": 40 - } - }, - { - "id": "bf631fb2-9575-442d-ae82-71dd0be21888", - "type": "basic.output", - "data": { - "name": "", - "range": "[23:0]", - "size": 24 - }, - "position": { - "x": 680, - "y": 152 + "x": 272, + "y": -120 } }, { - "id": "39c91753-cc29-4676-9a34-24470df724b7", + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" }, "position": { - "x": 312, - "y": 176 + "x": 648, + "y": -96 } }, { - "id": "ca7c2d52-5293-4143-9758-468e17d643c2", - "type": "basic.outputLabel", + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", "data": { - "name": "next", - "range": "[23:0]", - "blockColor": "fuchsia", - "size": 24 + "name": "ci", + "clock": false }, "position": { - "x": 280, - "y": 256 + "x": 136, + "y": -40 } }, { - "id": "77d4f680-5d59-458b-8b56-69633057a69c", + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", "type": "basic.inputLabel", "data": { - "name": "next", - "range": "[23:0]", + "blockColor": "navy", + "name": "ci", "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], "virtual": true, - "blockColor": "fuchsia" + "oldBlockColor": "fuchsia" }, "position": { - "x": 824, - "y": 272 + "x": 272, + "y": -40 } }, { - "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "type": "basic.input", + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", "data": { - "name": "inc", - "range": "[15:0]", - "clock": false, - "size": 16 + "blockColor": "fuchsia", + "name": "a" }, "position": { - "x": 48, - "y": 336 + "x": 480, + "y": 24 } }, { - "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "type": "basic.input", + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", "data": { - "name": "add", - "clock": false + "name": "s" }, "position": { - "x": 48, - "y": 416 + "x": 992, + "y": 56 } }, { - "id": "a65b6302-05d5-4df6-b308-44ec4b939901", - "type": "380890f86e3a886844470fb5c8431265046f197c", - "position": { - "x": 648, - "y": 272 + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" }, - "size": { - "width": 96, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, "height": 64 } }, { - "id": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "type": "c71699e00fcda7699d0368eb0de247bbddbcd395", + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", "position": { - "x": 448, - "y": 240 + "x": 832, + "y": 56 }, "size": { "width": 96, - "height": 96 + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" }, - "vertices": [] + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } }, { "source": { - "block": "39c91753-cc29-4676-9a34-24470df724b7", + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", "port": "outlabel" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" } }, { "source": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "size": 24 + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" }, "target": { - "block": "77d4f680-5d59-458b-8b56-69633057a69c", - "port": "inlabel" + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" }, - "size": 24 + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "ca7c2d52-5293-4143-9758-468e17d643c2", + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", "port": "outlabel" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "size": 24 + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" }, - "size": 24 + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" }, "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": 24 + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac" + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "bf631fb2-9575-442d-ae82-71dd0be21888", + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": 24 + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", - "port": "out" + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "97468651-dfc6-4c92-b3e0-92aa741d59df", - "port": "065ea371-8398-43b3-8341-287c234a3acb" + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" } }, { "source": { - "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", - "port": "out" + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "a65b6302-05d5-4df6-b308-44ec4b939901", - "port": "a8a28134-418c-49be-9550-f546432bebc9" + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "size": 16 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } } }, - "380890f86e3a886844470fb5c8431265046f197c": { + "d1240143e1ff7afe57f0f11565da980612b2bb76": { "package": { - "name": "sum-24-16->24bits", - "version": "0.1", - "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "name": "" }, "position": { - "x": 336, - "y": 136 + "x": 120, + "y": 48 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "name": "" }, "position": { - "x": 680, - "y": 192 + "x": 560, + "y": 72 } }, { - "id": "a8a28134-418c-49be-9550-f546432bebc9", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "", - "range": "[15:0]", - "clock": false, - "size": 16 + "name": "" }, "position": { - "x": 48, - "y": 272 - } - }, - { - "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "type": "0b81b8e1e01d92b35ab459001f261115129544e8", - "position": { - "x": 320, - "y": 256 - }, - "size": { - "width": 96, - "height": 64 + "x": 120, + "y": 104 } }, { - "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", - "position": { - "x": 144, - "y": 208 + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "899734d5-1063-4268-9135-20b5aff4c0fb", - "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", "position": { - "x": 504, - "y": 192 + "x": 256, + "y": 48 }, "size": { - "width": 96, - "height": 64 + "width": 272, + "height": 112 } } ], "wires": [ { "source": { - "block": "a8a28134-418c-49be-9550-f546432bebc9", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" - }, - "size": 16 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } }, { "source": { - "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", - "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" - }, - "size": 8 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } }, { "source": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 24 - }, - { - "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", - "port": "out" - }, - "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "4365840e-9ebf-4cee-bef6-622d85857f31" - }, - "size": 24 - }, - { - "source": { - "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", - "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" - }, - "target": { - "block": "899734d5-1063-4268-9135-20b5aff4c0fb", - "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" - }, - "size": 24 + } } ] } } }, - "0b81b8e1e01d92b35ab459001f261115129544e8": { + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { "package": { - "name": "Agregador-8-16-bus", - "version": "0.1", - "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "" }, "position": { - "x": 112, - "y": 144 + "x": 96, + "y": 56 } }, { - "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { - "name": "o", - "range": "[23:0]", - "size": 24 + "name": "" }, "position": { - "x": 608, - "y": 200 + "x": 600, + "y": 96 } }, { - "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", + "id": "97b51945-d716-4b6c-9db9-970d08541249", "type": "basic.input", "data": { - "name": "i0", - "range": "[15:0]", - "clock": false, - "size": 16 + "name": "" }, "position": { - "x": 128, - "y": 288 + "x": 96, + "y": 128 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "assign o = {i1, i0};\n", + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[7:0]", - "size": 8 + "name": "a" }, { - "name": "i0", - "range": "[15:0]", - "size": 16 + "name": "b" } ], "out": [ { - "name": "o", - "range": "[23:0]", - "size": 24 + "name": "c" } ] } }, "position": { - "x": 296, - "y": 176 + "x": 256, + "y": 48 }, "size": { - "width": 224, - "height": 112 + "width": 304, + "height": 152 } } ], "wires": [ { "source": { - "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" - }, - "size": 8 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } }, { "source": { - "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", + "block": "97b51945-d716-4b6c-9db9-970d08541249", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - }, - "size": 16 + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, "target": { - "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "block": "664caf9e-5f40-4df4-800a-b626af702e62", "port": "in" - }, - "size": 24 + } } ] } } }, - "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { + "873425949b2a80f1a7f66f320796bcd068a59889": { "package": { - "name": "Valor_0_8bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 8 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { - "name": "k", - "range": "[7:0]", - "size": 8 + "name": "" }, "position": { - "x": 928, - "y": 256 + "x": 608, + "y": 72 } }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "name": "", - "value": "0", - "local": true + "name": "" }, "position": { - "x": 728, - "y": 152 + "x": 112, + "y": 96 } }, { - "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, "position": { - "x": 728, - "y": 256 + "x": 256, + "y": 48 }, "size": { - "width": 96, - "height": 64 + "width": 312, + "height": 104 } } ], "wires": [ { "source": { - "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "port": "constant-out" + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" }, "target": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" } }, { "source": { - "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", - "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" }, "target": { - "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", - "port": "in" + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" }, - "size": 8 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "25e6ad7722c5f4707b002d30cf0f2111ba416525": { + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { "package": { - "name": "sum-2op-24bits", - "version": "0.1", - "description": "Sumador de dos operandos de 24bits", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "4365840e-9ebf-4cee-bef6-622d85857f31", - "type": "basic.input", + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", "data": { - "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "name": "" }, "position": { - "x": 200, - "y": 136 + "x": 456, + "y": 120 } }, { - "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", - "type": "basic.output", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "name": "", - "range": "[23:0]", - "size": 24 + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 680, - "y": 192 + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 } - }, + } + ], + "wires": [ { - "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "80ac841b78ab68b7668296f4d0b0b4daadc7bcf9": { + "package": { + "name": "Bus2-Join-all", + "version": "0.1", + "description": "Bus2-Join-all: Joint two wires into a 2-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 112, + "y": 144 + } + }, + { + "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", + "type": "basic.output", "data": { "name": "", - "range": "[23:0]", - "clock": false, - "size": 24 + "range": "[1:0]", + "size": 2 }, "position": { - "x": 208, - "y": 232 + "x": 584, + "y": 200 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 112, + "y": 256 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign s = a + b;", + "code": "assign o = {i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "a", - "range": "[23:0]", - "size": 24 + "name": "i1" }, { - "name": "b", - "range": "[23:0]", - "size": 24 + "name": "i0" } ], "out": [ { - "name": "s", - "range": "[23:0]", - "size": 24 + "name": "o", + "range": "[1:0]", + "size": 2 } ] } }, "position": { - "x": 384, - "y": 192 + "x": 296, + "y": 176 }, "size": { - "width": 216, - "height": 64 + "width": 224, + "height": 112 } } ], "wires": [ { "source": { - "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" - }, - "size": 24 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } }, { "source": { - "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 24 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", "port": "in" }, - "size": 24 + "size": 2 } ] } } }, - "c71699e00fcda7699d0368eb0de247bbddbcd395": { + "bdd2a85e75095a0107a71364eb3a62c90d01b82d": { "package": { - "name": "Registro-24", - "version": "0.1-c1588350420371", - "description": "Registro de 24 bits", + "name": "02-Reg", + "version": "0.8", + "description": "02-Reg: 2 bits Register. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "c39743c3-1b76-483c-8613-15f2782d0809", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 80, - "y": 176 + "x": 568, + "y": 144 } }, { - "id": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", "type": "basic.output", "data": { "name": "", - "range": "[23:0]", - "size": 24 + "range": "[1:0]", + "size": 2 }, "position": { - "x": 632, - "y": 248 + "x": 1136, + "y": 240 } }, { - "id": "3f7decb3-4770-4665-84bd-ab3cd838189f", + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", "type": "basic.input", "data": { "name": "", - "range": "[23:0]", + "range": "[1:0]", "clock": false, - "size": 24 + "size": 2 }, "position": { - "x": 80, - "y": 248 + "x": 568, + "y": 240 } }, { - "id": "065ea371-8398-43b3-8341-287c234a3acb", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", "type": "basic.input", "data": { "name": "load", "clock": false }, "position": { - "x": 80, - "y": 312 + "x": 568, + "y": 328 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", "type": "basic.constant", "data": { "name": "", @@ -6066,20 +6344,14 @@ "local": false }, "position": { - "x": 376, - "y": 56 + "x": 848, + "y": 24 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", "type": "basic.code", "data": { - "code": "localparam N = 24;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], "ports": { "in": [ { @@ -6087,8 +6359,8 @@ }, { "name": "d", - "range": "[23:0]", - "size": 24 + "range": "[1:0]", + "size": 2 }, { "name": "load" @@ -6097,1970 +6369,1909 @@ "out": [ { "name": "q", - "range": "[23:0]", - "size": 24 + "range": "[1:0]", + "size": 2 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 2;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" }, "position": { - "x": 280, - "y": 176 + "x": 728, + "y": 128 }, "size": { - "width": 288, - "height": 200 + "width": 328, + "height": 280 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", "port": "INI" }, "vertices": [] }, { "source": { - "block": "065ea371-8398-43b3-8341-287c234a3acb", + "block": "c39743c3-1b76-483c-8613-15f2782d0809", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "load" - } + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" + }, + "vertices": [] }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "block": "f1022de4-0225-43d7-92d3-451161ee6942", "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" } }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" }, "target": { - "block": "30fc6b65-f338-48eb-ad7a-59e04a3b7aac", - "port": "in" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" }, - "size": 24 + "size": 2 }, { "source": { - "block": "3f7decb3-4770-4665-84bd-ab3cd838189f", - "port": "out" + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", + "port": "in" }, - "size": 24 + "size": 2 } ] } } }, - "be3c9cd53a16ff19140224bb4472883b9eebb8fc": { + "2f025eeee961b5e9fb60884fba021ac354d7248b": { "package": { - "name": "tone_generator_pulse", - "version": "0.1", - "description": "Generate a squeare signal (pulse) from the timebase", + "name": "generator-tone-noise", + "version": "0.2", + "description": "tone-noise generator", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20id=%22Ebene_1%22%20width=%22202.334%22%20height=%22161.714%22%20viewBox=%220%200%20202.33365%20161.71387%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path998%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-62%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-99%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321-3%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339-0%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1228%22/%3E%3Ccircle%20id=%22gpDot%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt4%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5%22%20id=%22gpPt6%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt8%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt9%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9%22%20id=%22gpPt10%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt11%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11%22%20id=%22gpPt12%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpDot-3%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0-6%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1-7%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2-5%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt4-5%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5-6%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5-6%22%20id=%22gpPt6-2%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7-9%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt8-1%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt9-2%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9-2%22%20id=%22gpPt10-7%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt11-0%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11-0%22%20id=%22gpPt12-9%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20r=%2236.696%22%20cy=%22123.902%22%20cx=%2237.811%22%20id=%22path1606%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22144.44%22%20y=%22144.225%22%20id=%22text849%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20id=%22tspan847%22%20x=%22144.44%22%20y=%22144.225%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3EPulse%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-163.768%22%20y=%22378.437%22%20id=%22text1419%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20d=%22M844.847%2091.713%22%20id=%22path2375%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M454.235-55.93699999999998%22%20id=%22path2399%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M519.337-55.93699999999998%22%20id=%22path2411%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M844.847-55.93699999999998%22%20id=%22path2471%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20id=%22path2487%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22graph%22%20d=%22M22.832%2066.689V1.413h42.953v65.276h38.981V1.413h42.952v65.276h42.387%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", - "otid": 1588447340837 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cstyle%20id=%22style3052%22%3E*%7Bstroke-linecap:square;stroke-linejoin:round%7D%3C/style%3E%3Cpath%20d=%22M0%200v-4%22%20id=%22mcb557df647%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200v4%22%20id=%22mdad270ee8e%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M31.781%2066.406q-7.61%200-11.453-7.5Q16.5%2051.422%2016.5%2036.375q0-14.984%203.828-22.484%203.844-7.5%2011.453-7.5%207.672%200%2011.5%207.5%203.844%207.5%203.844%2022.484%200%2015.047-3.844%2022.531-3.828%207.5-11.5%207.5m0%207.813q12.266%200%2018.735-9.703%206.468-9.688%206.468-28.141%200-18.406-6.468-28.11-6.47-9.687-18.735-9.687-12.25%200-18.718%209.688-6.47%209.703-6.47%2028.109%200%2018.453%206.47%2028.14Q19.53%2074.22%2031.78%2074.22%22%20id=%22BitstreamVeraSans-Roman-30%22/%3E%3Cpath%20d=%22M19.188%208.297h34.421V0H7.33v8.297q5.609%205.812%2015.296%2015.594%209.703%209.797%2012.188%2012.64%204.734%205.313%206.609%209%201.89%203.688%201.89%207.25%200%205.813-4.078%209.469-4.078%203.672-10.625%203.672-4.64%200-9.797-1.61-5.14-1.609-11-4.89v9.969Q13.767%2071.78%2018.938%2073q5.188%201.219%209.485%201.219%2011.328%200%2018.062-5.672%206.735-5.656%206.735-15.125%200-4.5-1.688-8.531-1.672-4.016-6.125-9.485-1.218-1.422-7.765-8.187-6.532-6.766-18.453-18.922%22%20id=%22BitstreamVeraSans-Roman-32%22/%3E%3Cpath%20d=%22M37.797%2064.313L12.89%2025.39h24.906zm-2.594%208.593H47.61V25.391h10.407v-8.203H47.609V0h-9.812v17.188H4.89v9.515z%22%20id=%22BitstreamVeraSans-Roman-34%22/%3E%3Cpath%20d=%22M33.016%2040.375q-6.641%200-10.532-4.547-3.875-4.531-3.875-12.437%200-7.86%203.875-12.438%203.891-4.562%2010.532-4.562%206.64%200%2010.515%204.562%203.875%204.578%203.875%2012.438%200%207.906-3.875%2012.437-3.875%204.547-10.515%204.547m19.578%2030.922v-8.984q-3.719%201.75-7.5%202.671-3.782.938-7.5.938-9.766%200-14.922-6.594-5.14-6.594-5.875-19.922%202.875%204.25%207.219%206.516%204.359%202.266%209.578%202.266%2010.984%200%2017.36-6.672%206.374-6.657%206.374-18.125%200-11.235-6.64-18.032-6.641-6.78-17.672-6.78-12.657%200-19.344%209.687-6.688%209.703-6.688%2028.109%200%2017.281%208.204%2027.563%208.203%2010.28%2022.015%2010.28%203.719%200%207.5-.734t7.89-2.187%22%20id=%22BitstreamVeraSans-Roman-36%22/%3E%3Cpath%20d=%22M31.781%2034.625q-7.031%200-11.062-3.766-4.016-3.765-4.016-10.343%200-6.594%204.016-10.36Q24.75%206.391%2031.78%206.391q7.032%200%2011.078%203.78%204.063%203.798%204.063%2010.345%200%206.578-4.031%2010.343-4.016%203.766-11.11%203.766m-9.86%204.188q-6.343%201.562-9.89%205.906Q8.5%2049.079%208.5%2055.329q0%208.733%206.219%2013.812%206.234%205.078%2017.062%205.078%2010.89%200%2017.094-5.078%206.203-5.079%206.203-13.813%200-6.25-3.547-10.61-3.531-4.343-9.828-5.906%207.125-1.656%2011.094-6.5%203.984-4.828%203.984-11.796%200-10.61-6.468-16.282-6.47-5.656-18.532-5.656-12.047%200-18.531%205.656-6.469%205.672-6.469%2016.282%200%206.968%204%2011.797%204.016%204.843%2011.14%206.5M18.314%2054.39q0-5.657%203.53-8.828%203.548-3.172%209.938-3.172%206.36%200%209.938%203.172%203.593%203.171%203.593%208.828%200%205.672-3.593%208.843-3.578%203.172-9.938%203.172-6.39%200-9.937-3.172-3.532-3.172-3.532-8.843%22%20id=%22BitstreamVeraSans-Roman-38%22/%3E%3Cpath%20d=%22M12.406%208.297h16.11v55.625l-17.532-3.516v8.985l17.438%203.515h9.86V8.296H54.39V0H12.406z%22%20id=%22BitstreamVeraSans-Roman-31%22/%3E%3Cpath%20d=%22M0%200h4%22%20id=%22mc8fcea1516%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200h-4%22%20id=%22m0d5b0a6425%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3CclipPath%20id=%22p7ff5b81e1d%22%3E%3Cpath%20id=%22rect3245%22%20d=%22M72%2043.2h446.4v345.6H72z%22/%3E%3C/clipPath%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ENoise%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.33333%200%200%201.33333%20345.782%20-115.517)%22%20id=%22patch_2%22%3E%3Cpath%20id=%22path3059%22%20d=%22M72%20388.8h446.4V43.2H72z%22%20fill=%22#fff%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.24609%200%200%20.98154%2026.604%20-77.931)%22%20id=%22line2d_1%22%20stroke-width=%22.452%22%3E%3Cpath%20transform=%22matrix(2.40534%200%200%20.3994%20-582.624%2044.725)%22%20id=%22path3062%22%20d=%22M238.061%20221.411l.446-25.199.447%2016.98.446-38.405.446-70.353.447%2060.659.446-51.632.447%20125.76.446-9.78.446%2045.342.893-81.556.447%2042.637.446%2066.129.446-105.65.447%2078.633.446-84.467.447%2012.022.446%2035.765.446-46.881.447%2058.801.446%2026.687.447-22.757.446-34.389.446-46.182.447%2067.387.446-39.893.447%2025.369.446%2047.468.446-43.913.447-25.598.446%2031.379.447-60.838.446%2099.308.446-110.83.893%2061.01.447%207.216.446%2030.342.446-57.516.447-37.35.446%20100.807.447-3.275.446-25.79.446-40.216.447%203.726.446-16.955.447-27.499.446%2051.416.446-62.516.447%2090.441.446-43.834.447%2066.712.446-118.862.446%2030.9.447%2013.315.446%207.64.447%2074.164.446%2022.814.893-56.159.446-44.743.447-7.329.446%2045.909.446%2014.122.447%203.403.446%207.966.447-89.663.446%2091.88.446-103.879.447%20139.778.446-29.272.447%2019.93.446-98.4.446%203.982.893%20108.281.447-29.99.446-145.837.446%2043.054.447%2019.359.446%2066.886.447-2.853.446-11.105.446-77.793.447%2033.052.446-10.708.893%2084.872.446%205.914.447-29.148.446-47.477.447%2066.745.446%207.899.446-63.47.447%2012.928.446-67.294.447-1.957.446%20159.016.446-172.724.447%2071.177.446-29.401.447%2062.547.446%2010.469.446%2020.344.447-10.05.446-56.45.447%2010.337.446%2030.162.446-45.847.447-66.028.446-1.779.447%2083.282.446-123.191.446%20115.479.447-84.859.446-17.071.447%20165.079.446-64.183.446%2048.302.447-73.319.446%2034.263.447-88.671.446%2049.2.893%2045.432.446%2056.226.447-70.466.446-46.454.446%20125.494.447-74.601.446-9.101.447%2058.65.446-50.294.446-13.647.447-19.783.446%2012.191%22%20clip-path=%22url(#p7ff5b81e1d)%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.461%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1588504190451 }, "design": { "graph": { "blocks": [ { - "id": "42537563-f4f5-4a36-abbb-3a8111a7cd98", + "id": "f508b512-a1ab-42e5-878f-3690dfe8ddac", "type": "basic.input", "data": { - "name": "amp", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "", + "clock": true }, "position": { - "x": 136, - "y": -128 + "x": 664, + "y": 640 } }, { - "id": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", - "type": "basic.output", + "id": "dd369695-00c2-4906-8a41-14acdc9b1408", + "type": "basic.inputLabel", "data": { - "name": "sample", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 944, - "y": -96 + "x": 808, + "y": 640 } }, { - "id": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", - "type": "basic.input", + "id": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", + "type": "basic.inputLabel", "data": { - "name": "phase", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "blockColor": "fuchsia", + "name": "r20", + "oldBlockColor": "fuchsia" }, "position": { - "x": 144, - "y": 168 + "x": 1976, + "y": 736 } }, { - "id": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "type": "704dd5f8afe62655a24799888c6f808656700e78", - "position": { - "x": 752, - "y": -96 + "id": "fe4665a9-f28c-4182-9208-5b8944e11d63", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", "position": { - "x": 536, - "y": -112 - }, - "size": { - "width": 96, - "height": 64 + "x": 1672, + "y": 736 } }, { - "id": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", - "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", - "position": { - "x": 384, - "y": -48 + "id": "1863b72e-5766-46ac-8069-2a95a616dcc8", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r11", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2456, + "y": 736 } }, { - "id": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "type": "770c28026476212f3ed069d6ca576d596bfda158", - "position": { - "x": 304, - "y": 168 + "id": "a73bd436-5168-495f-818e-238ff938574c", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2160, + "y": 736 } }, { - "id": "a015b861-c345-41dd-972a-125df93d8320", - "type": "basic.info", + "id": "71764031-997f-41ff-9a58-d822d28927dc", + "type": "basic.outputLabel", "data": { - "info": "In the first half phase the output is 0, \nin the second half phase the output is the amplitude ", - "readonly": true + "blockColor": "fuchsia", + "name": "r22" }, "position": { - "x": 296, - "y": 248 - }, - "size": { - "width": 440, - "height": 80 + "x": 2704, + "y": 744 } }, { - "id": "64b452b9-f831-4681-8b41-1bf40f50a7f6", - "type": "basic.info", + "id": "06af9306-8956-41b0-a33b-a50ee3cb8ece", + "type": "basic.outputLabel", "data": { - "info": "The sample is 12 bits \nOnly the 8 MSB is used for the \namplitude. The 4 lsb are 0", - "readonly": true + "blockColor": "fuchsia", + "name": "r20", + "oldBlockColor": "fuchsia" }, "position": { - "x": 416, - "y": -200 - }, - "size": { - "width": 288, - "height": 72 + "x": 2704, + "y": 800 } - } - ], - "wires": [ + }, { - "source": { - "block": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", - "port": "53acced8-0736-40f7-8db1-7103a21f31c2" - }, - "target": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" + "id": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "vertices": [], - "size": 4 + "position": { + "x": 1192, + "y": 816 + } }, { - "source": { - "block": "42537563-f4f5-4a36-abbb-3a8111a7cd98", - "port": "out" - }, - "target": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "906137ba-f840-4759-9556-b6a0ec101654" + "id": "d0ac1354-2a1a-41ef-b3f0-870814de248a", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r22" }, - "size": 8 + "position": { + "x": 1536, + "y": 848 + } }, { - "source": { - "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" - }, - "target": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "29bbd7ca-c0f4-493d-b010-d91490b2ba36" + "id": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r16", + "oldBlockColor": "fuchsia" }, - "size": 12 + "position": { + "x": 2704, + "y": 856 + } }, { - "source": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3" - }, - "target": { - "block": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", - "port": "in" + "id": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r22" }, - "size": 12 + "position": { + "x": 1040, + "y": 896 + } }, { - "source": { - "block": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", - "port": "out" - }, - "target": { - "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + "id": "aef43116-9ab7-4175-9828-cbf27b985d7f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r13", + "oldBlockColor": "fuchsia" }, - "size": 24 + "position": { + "x": 2704, + "y": 904 + } }, { - "source": { - "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", - "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + "id": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r7", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", - "port": "dc0503e7-6d73-4528-8588-e07859b9246b" + "position": { + "x": 2448, + "y": 920 } - } - ] - } - } - }, - "704dd5f8afe62655a24799888c6f808656700e78": { - "package": { - "name": "Channel-enable", - "version": "0.1", - "description": "12-bits Channel enable gate", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2258.054%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588407195351 - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", - "type": "basic.input", + "id": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[11:0]", - "clock": false, - "size": 12 + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, "position": { - "x": 16, - "y": 320 + "x": 2152, + "y": 920 } }, { - "id": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "type": "basic.output", + "id": "16abb958-be27-4444-9f6b-84ebc4b62e78", + "type": "basic.inputLabel", "data": { - "name": "", - "range": "[11:0]", - "size": 12 + "blockColor": "fuchsia", + "name": "r17", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1104, - "y": 336 + "x": 1968, + "y": 928 } }, { - "id": "dc0503e7-6d73-4528-8588-e07859b9246b", - "type": "basic.input", + "id": "3945ce11-9f6e-41a3-a20b-999e25714702", + "type": "basic.outputLabel", "data": { - "name": "en", - "clock": false + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, "position": { - "x": 48, - "y": 784 + "x": 1672, + "y": 928 } }, { - "id": "be3e513d-01cc-4283-b6fd-08a819830611", - "type": "8d2bd952c205c3c773c9ac9da1c6bba1f3166165", - "position": { - "x": 896, - "y": 176 + "id": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", + "type": "basic.inputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": { - "width": 96, - "height": 384 + "position": { + "x": 1536, + "y": 944 } }, { - "id": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "type": "3b52d5a4df7042b0cd9f5945ae31497b4741aa22", - "position": { - "x": 256, - "y": 160 + "id": "3cdd862d-2200-4845-8f2d-13185122451b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r17", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 384 + "position": { + "x": 1040, + "y": 960 } }, { - "id": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": -72 + "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 3272, + "y": 976 } }, { - "id": "a7438cb7-d571-484e-852d-5a1af26158f7", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 0 + "id": "bdbadc17-4209-46a6-807f-d3d02d165e2b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r11", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2704, + "y": 976 } }, { - "id": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 72 + "id": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r7", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2704, + "y": 1032 } }, { - "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 144 + "id": "e6b47afc-9465-4141-9e78-343c0abc087e", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 896, + "y": 1032 } }, { - "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 216 + "id": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r4", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2704, + "y": 1088 } }, { - "id": "2f5c5027-6968-425d-848f-44e20329a15c", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 320 + "id": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r16", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1968, + "y": 1104 } }, { - "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 480 + "id": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1672, + "y": 1104 } }, { - "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 552 + "id": "5b363406-eaee-4af4-895c-662fa714c7f4", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r4", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2448, + "y": 1104 } }, { - "id": "756c0631-762c-418b-879d-eb4bb02aa428", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 624 + "id": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2152, + "y": 1104 } }, { - "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 696 + "id": "c0405b9f-e8dd-4770-ab15-300719263cdc", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r2", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 2704, + "y": 1144 } }, { - "id": "a970977d-5030-4145-ae62-6ef064aee10e", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 768 + "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 720, + "y": 1224 } }, { - "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", - "position": { - "x": 664, - "y": 408 + "id": "8bc11e40-8b3d-4246-9941-2d442b68b65f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r13", + "oldBlockColor": "fuchsia" }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 1976, + "y": 1280 } - } - ], - "wires": [ - { - "source": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "ee811595-5804-4013-af30-15cd89b5bed2" - }, - "target": { - "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", - "port": "in" - }, - "size": 12 }, { - "source": { - "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", - "port": "out" - }, - "target": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" + "id": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "size": 12 + "position": { + "x": 1680, + "y": 1280 + } }, { - "source": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "r2", + "oldBlockColor": "fuchsia" }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" + "position": { + "x": 2448, + "y": 1288 } }, { - "source": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" + "id": "71d17b9b-694b-4637-8a2e-669a8740abbf", + "type": "basic.outputLabel", + "data": { + "name": "r", + "range": "[22:0]", + "blockColor": "fuchsia", + "size": 23 }, - "vertices": [ - { - "x": 848, - "y": 120 - } - ] + "position": { + "x": 2152, + "y": 1288 + } }, { - "source": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" + "id": "33ebd859-d504-4139-90e0-9f8707c014f3", + "type": "basic.constant", + "data": { + "name": "", + "value": "19", + "local": true }, - "vertices": [ - { - "x": 832, - "y": 192 - } - ] + "position": { + "x": 888, + "y": 1128 + } }, { - "source": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" + "id": "6aa6538e-d255-4da0-b455-929e85b53e5f", + "type": "basic.constant", + "data": { + "name": "", + "value": "23'b01101110010010000101011", + "local": true }, - "vertices": [ - { - "x": 816, - "y": 256 - } - ] + "position": { + "x": 1360, + "y": 792 + } }, { - "source": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + "id": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", + "type": "basic.constant", + "data": { + "name": "", + "value": "17", + "local": true }, - "vertices": [ - { - "x": 800, - "y": 280 - } - ] + "position": { + "x": 1824, + "y": 832 + } }, { - "source": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "01418e00-852b-43a9-948d-713721b5f4e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "16", + "local": true }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + "position": { + "x": 1824, + "y": 1008 } }, { - "source": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", + "type": "basic.constant", + "data": { + "name": "", + "value": "13", + "local": true }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + "position": { + "x": 1832, + "y": 1184 } }, { - "source": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + "id": "3c45c485-1262-499b-a0f0-73c5235a5aeb", + "type": "basic.constant", + "data": { + "name": "", + "value": "20", + "local": true }, - "vertices": [ - { - "x": 864, - "y": 712 - } - ] + "position": { + "x": 1832, + "y": 640 + } }, { - "source": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "42733799-2dd4-429b-bd43-a478067a10a6" + "id": "94926ff6-27ff-467e-8804-a3618fefeb05", + "type": "basic.constant", + "data": { + "name": "", + "value": "7", + "local": true }, - "vertices": [ - { - "x": 848, - "y": 640 - } - ] + "position": { + "x": 2304, + "y": 824 + } }, { - "source": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + "id": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", + "type": "basic.constant", + "data": { + "name": "", + "value": "4", + "local": true }, - "vertices": [ - { - "x": 832, - "y": 552 - } - ] + "position": { + "x": 2304, + "y": 1008 + } }, { - "source": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + "id": "2f1d0634-4943-4991-92c6-5e74879f70ad", + "type": "basic.constant", + "data": { + "name": "", + "value": "2", + "local": true }, - "vertices": [ - { - "x": 824, - "y": 480 - } - ] + "position": { + "x": 2304, + "y": 1192 + } }, { - "source": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" + "id": "fa3cedd1-efc5-4464-a07c-11e1248c4283", + "type": "basic.constant", + "data": { + "name": "", + "value": "11", + "local": true }, - "target": { - "block": "be3e513d-01cc-4283-b6fd-08a819830611", - "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + "position": { + "x": 2312, + "y": 640 + } + }, + { + "id": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "type": "832c8ef4212d370059da69837d54be8864cbca2b", + "position": { + "x": 888, + "y": 1224 }, - "vertices": [ - { - "x": 808, - "y": 400 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" + "id": "c3df28c2-037d-415d-86f6-1addcca9705d", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1824, + "y": 928 }, - "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", + "position": { + "x": 1200, + "y": 912 }, - "vertices": [ - { - "x": 392, - "y": -32 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" + "id": "9f1650f4-b36d-474e-806b-8acd57c50072", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1832, + "y": 736 }, - "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1824, + "y": 1104 }, - "vertices": [ - { - "x": 408, - "y": 88 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" + "id": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 1832, + "y": 1280 }, - "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2312, + "y": 736 }, - "vertices": [ - { - "x": 424, - "y": 152 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" + "id": "129f2a60-0728-472b-983e-7dd2edddc301", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2304, + "y": 920 }, - "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2304, + "y": 1104 }, - "vertices": [ - { - "x": 440, - "y": 216 - } - ] + "size": { + "width": 96, + "height": 64 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" + "id": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "position": { + "x": 2304, + "y": 1288 }, - "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "type": "7263b9b45cf45542cb1e2badb21718e15c151ca5", + "position": { + "x": 2904, + "y": 864 }, - "vertices": [ - { - "x": 456, - "y": 280 - } - ] + "size": { + "width": 96, + "height": 256 + } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + "id": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", + "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", + "position": { + "x": 2936, + "y": 1160 }, - "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + "id": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", + "position": { + "x": 3104, + "y": 976 }, - "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1064, + "y": 1208 }, - "vertices": [ - { - "x": 456, - "y": 400 - } - ] + "size": { + "width": 96, + "height": 64 + } }, + { + "id": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "type": "7a11921b0d0ebdd41ebb33ea6eb263c988ac39da", + "position": { + "x": 1360, + "y": 904 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 23 }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", + "port": "inlabel" }, - "vertices": [ - { - "x": 440, - "y": 464 - } - ] + "vertices": [], + "size": 23 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + "block": "c3df28c2-037d-415d-86f6-1addcca9705d", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "16abb958-be27-4444-9f6b-84ebc4b62e78", + "port": "inlabel" }, - "vertices": [ - { - "x": 424, - "y": 496 - } - ] + "vertices": [] }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + "block": "3945ce11-9f6e-41a3-a20b-999e25714702", + "port": "outlabel" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "c3df28c2-037d-415d-86f6-1addcca9705d", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 }, - "vertices": [ - { - "x": 408, - "y": 576 - } - ] + "vertices": [], + "size": 23 }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" - }, + "block": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", + "port": "outlabel" + }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" }, - "vertices": [ - { - "x": 392, - "y": 640 - } - ] + "vertices": [] }, { "source": { - "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", - "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" + "block": "3cdd862d-2200-4845-8f2d-13185122451b", + "port": "outlabel" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" }, - "vertices": [ - { - "x": 368, - "y": 720 - } - ] + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "9f1650f4-b36d-474e-806b-8acd57c50072", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "a970977d-5030-4145-ae62-6ef064aee10e", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "fe4665a9-f28c-4182-9208-5b8944e11d63", + "port": "outlabel" }, "target": { - "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "9f1650f4-b36d-474e-806b-8acd57c50072", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "756c0631-762c-418b-879d-eb4bb02aa428", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", + "port": "outlabel" }, "target": { - "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "8bc11e40-8b3d-4246-9941-2d442b68b65f", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", + "port": "outlabel" }, "target": { - "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "2f5c5027-6968-425d-848f-44e20329a15c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "1863b72e-5766-46ac-8069-2a95a616dcc8", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "a73bd436-5168-495f-818e-238ff938574c", + "port": "outlabel" }, "target": { - "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "129f2a60-0728-472b-983e-7dd2edddc301", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", + "port": "outlabel" }, "target": { - "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "129f2a60-0728-472b-983e-7dd2edddc301", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "a7438cb7-d571-484e-852d-5a1af26158f7", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } + "block": "5b363406-eaee-4af4-895c-662fa714c7f4", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "dc0503e7-6d73-4528-8588-e07859b9246b", - "port": "out" + "block": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", + "port": "outlabel" }, "target": { - "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - } - } - ] - } - } - }, - "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { - "package": { - "name": "join-bus-11-bits", - "version": "0.1", - "description": "Join 11 wires into a 11-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", - "type": "basic.input", - "data": { - "name": "i11", - "clock": false + "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 }, - "position": { - "x": 80, - "y": 160 - } + "vertices": [], + "size": 23 }, { - "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", - "type": "basic.input", - "data": { - "name": "i10", - "clock": false + "source": { + "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, - "position": { - "x": -40, - "y": 200 - } + "target": { + "block": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", + "port": "inlabel" + }, + "vertices": [] }, { - "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "type": "basic.input", - "data": { - "name": "i9", - "clock": false + "source": { + "block": "71d17b9b-694b-4637-8a2e-669a8740abbf", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 240 - } + "target": { + "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "size": 23 + }, + "vertices": [], + "size": 23 }, { - "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", - "type": "basic.input", - "data": { - "name": "i8", - "clock": false + "source": { + "block": "71764031-997f-41ff-9a58-d822d28927dc", + "port": "outlabel" }, - "position": { - "x": -40, - "y": 280 - } + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + }, + "vertices": [] }, { - "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "type": "basic.input", - "data": { - "name": "i7", - "clock": false - }, - "position": { - "x": 80, - "y": 320 - } - }, - { - "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "type": "basic.input", - "data": { - "name": "i6", - "clock": false + "source": { + "block": "06af9306-8956-41b0-a33b-a50ee3cb8ece", + "port": "outlabel" }, - "position": { - "x": -40, - "y": 360 - } - }, - { - "id": "ee811595-5804-4013-af30-15cd89b5bed2", - "type": "basic.output", - "data": { - "name": "o", - "range": "[11:0]", - "size": 12 + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" }, - "position": { - "x": 1032, - "y": 384 - } + "vertices": [ + { + "x": 2848, + "y": 896 + } + ] }, { - "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "type": "basic.input", - "data": { - "name": "i5", - "clock": false + "source": { + "block": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 400 - } - }, - { - "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "type": "basic.input", - "data": { - "name": "i4", - "clock": false + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" }, - "position": { - "x": -32, - "y": 440 - } + "vertices": [ + { + "x": 2832, + "y": 904 + } + ] }, { - "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "type": "basic.input", - "data": { - "name": "i3", - "clock": false + "source": { + "block": "aef43116-9ab7-4175-9828-cbf27b985d7f", + "port": "outlabel" }, - "position": { - "x": 88, - "y": 480 - } - }, - { - "id": "42733799-2dd4-429b-bd43-a478067a10a6", - "type": "basic.input", - "data": { - "name": "i2", - "clock": false + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" }, - "position": { - "x": -40, - "y": 520 - } + "vertices": [ + { + "x": 2816, + "y": 960 + } + ] }, { - "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "type": "basic.input", - "data": { - "name": "i1", - "clock": false + "source": { + "block": "bdbadc17-4209-46a6-807f-d3d02d165e2b", + "port": "outlabel" }, - "position": { - "x": 80, - "y": 560 - } - }, - { - "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "type": "basic.input", - "data": { - "name": "i0", - "clock": false + "target": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" }, - "position": { - "x": -24, - "y": 600 - } + "vertices": [] }, - { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", - "data": { - "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i11" - }, - { - "name": "i10" - }, - { - "name": "i9" - }, - { - "name": "i8" - }, - { - "name": "i7" - }, - { - "name": "i6" - }, - { - "name": "i5" - }, - { - "name": "i4" - }, - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[11:0]", - "size": 12 - } - ] - } - }, - "position": { - "x": 296, - "y": 176 - }, - "size": { - "width": 656, - "height": 472 - } - } - ], - "wires": [ { "source": { - "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "port": "out" + "block": "c0405b9f-e8dd-4770-ab15-300719263cdc", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i7" - } + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + }, + "vertices": [] }, { "source": { - "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "port": "out" + "block": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i6" - } + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + }, + "vertices": [] }, { "source": { - "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "port": "out" + "block": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i5" - } + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "42733799-2dd4-429b-bd43-a478067a10a6" + }, + "vertices": [] }, { "source": { - "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "block": "f508b512-a1ab-42e5-878f-3690dfe8ddac", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i4" - } + "block": "dd369695-00c2-4906-8a41-14acdc9b1408", + "port": "inlabel" + }, + "vertices": [] }, { "source": { - "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "port": "out" + "block": "e6b47afc-9465-4141-9e78-343c0abc087e", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "2708468d-1088-4570-be63-fb0d4799a941" } }, { "source": { - "block": "42733799-2dd4-429b-bd43-a478067a10a6", - "port": "out" + "block": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" } }, { "source": { - "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "port": "out" + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "block": "d0ac1354-2a1a-41ef-b3f0-870814de248a", + "port": "inlabel" } }, { "source": { - "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "port": "out" + "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "port": "352fe87a-e310-4225-9c82-86adf05aade8" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" - } + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" + "block": "33ebd859-d504-4139-90e0-9f8707c014f3", + "port": "constant-out" }, "target": { - "block": "ee811595-5804-4013-af30-15cd89b5bed2", - "port": "in" + "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" }, - "size": 12 + "vertices": [] }, { "source": { - "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", - "port": "out" + "block": "8895db85-6b31-4d5a-88be-68c65ad799fd", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i8" - } + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e" + }, + "vertices": [] }, { "source": { - "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", - "port": "out" + "block": "6aa6538e-d255-4da0-b455-929e85b53e5f", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i9" - } + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "9dad6350-1887-40ab-80e7-81fc57c7e5e7" + }, + "vertices": [] }, { "source": { - "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", - "port": "out" + "block": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i10" - } + "block": "c3df28c2-037d-415d-86f6-1addcca9705d", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { "source": { - "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", - "port": "out" + "block": "01418e00-852b-43a9-948d-713721b5f4e7", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i11" - } - } - ] - } - } - }, - "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { - "package": { - "name": "Separador-bus", - "version": "0.1", - "description": "Separador de bus de 12-bits en 12 cables", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", - "type": "basic.output", - "data": { - "name": "o11" + "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" }, - "position": { - "x": 552, - "y": -176 - } + "vertices": [] }, { - "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "type": "basic.output", - "data": { - "name": "o10" + "source": { + "block": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", + "port": "constant-out" }, - "position": { - "x": 584, - "y": -120 - } + "target": { + "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { - "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", - "type": "basic.output", - "data": { - "name": "o9" + "source": { + "block": "94926ff6-27ff-467e-8804-a3618fefeb05", + "port": "constant-out" }, - "position": { - "x": 616, - "y": -64 - } + "target": { + "block": "129f2a60-0728-472b-983e-7dd2edddc301", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { - "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "type": "basic.output", - "data": { - "name": "o8" + "source": { + "block": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", + "port": "constant-out" }, - "position": { - "x": 656, - "y": -8 - } + "target": { + "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { - "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "type": "basic.output", - "data": { - "name": "o7" + "source": { + "block": "2f1d0634-4943-4991-92c6-5e74879f70ad", + "port": "constant-out" }, - "position": { - "x": 712, - "y": 48 - } + "target": { + "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { - "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", - "type": "basic.output", - "data": { - "name": "o6" + "source": { + "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", + "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9" }, - "position": { - "x": 728, - "y": 104 - } + "target": { + "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "port": "906137ba-f840-4759-9556-b6a0ec101654" + }, + "vertices": [], + "size": 8 }, { - "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", - "type": "basic.output", - "data": { - "name": "o5" + "source": { + "block": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" }, - "position": { - "x": 752, - "y": 160 - } + "target": { + "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" + }, + "vertices": [], + "size": 4 }, { - "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", - "type": "basic.input", - "data": { - "name": "i", - "range": "[11:0]", - "clock": false, - "size": 12 + "source": { + "block": "3c45c485-1262-499b-a0f0-73c5235a5aeb", + "port": "constant-out" }, - "position": { - "x": 16, - "y": 192 - } + "target": { + "block": "9f1650f4-b36d-474e-806b-8acd57c50072", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { - "id": "f4978486-1baf-45b6-962d-f7c4f5021560", - "type": "basic.output", - "data": { - "name": "o4" + "source": { + "block": "fa3cedd1-efc5-4464-a07c-11e1248c4283", + "port": "constant-out" }, - "position": { - "x": 784, - "y": 216 - } + "target": { + "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", + "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + }, + "vertices": [] }, { - "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "type": "basic.output", - "data": { - "name": "o3" + "source": { + "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" }, - "position": { - "x": 768, - "y": 272 - } + "target": { + "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "port": "in" + }, + "size": 12 }, { - "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "type": "basic.output", - "data": { - "name": "o2" + "source": { + "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "port": "out" }, - "position": { - "x": 728, - "y": 328 - } + "target": { + "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", + "port": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70" + }, + "size": 24 }, { - "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "type": "basic.output", - "data": { - "name": "o1" + "source": { + "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, - "position": { - "x": 600, - "y": 352 + "target": { + "block": "6883e93b-e955-4ef4-971d-b32a8bab9165", + "port": "adb65ce3-8256-4acb-ad39-154262efb1ad" } - }, + } + ] + } + } + }, + "832c8ef4212d370059da69837d54be8864cbca2b": { + "package": { + "name": "Extract-1-bit", + "version": "0.1", + "description": "Extract 1 bit from a 24-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "id": "352fe87a-e310-4225-9c82-86adf05aade8", "type": "basic.output", "data": { - "name": "o0" + "name": "" }, "position": { - "x": 536, - "y": 416 + "x": 968, + "y": 296 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "pins": [ + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 504, + "y": 296 + } + }, + { + "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 744, + "y": 184 + } + }, + { + "id": "15921a47-9c6e-411a-95a4-31330b104b72", "type": "basic.code", "data": { - "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", - "params": [], + "code": "assign o = i[BIT];", + "params": [ + { + "name": "BIT" + } + ], "ports": { "in": [ { "name": "i", - "range": "[11:0]", - "size": 12 + "range": "[23:0]", + "size": 24 } ], "out": [ { - "name": "o11" - }, - { - "name": "o10" - }, - { - "name": "o9" - }, - { - "name": "o8" - }, - { - "name": "o7" - }, - { - "name": "o6" - }, - { - "name": "o5" - }, - { - "name": "o4" - }, - { - "name": "o3" - }, - { - "name": "o2" - }, - { - "name": "o1" - }, - { - "name": "o0" + "name": "o" } ] } }, "position": { - "x": 192, - "y": 80 + "x": 672, + "y": 296 }, "size": { - "width": 256, - "height": 280 + "width": 232, + "height": 64 } } ], "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o7" + "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "port": "constant-out" }, "target": { - "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", - "port": "in" - } + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "BIT" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o6" + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "o" }, "target": { - "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "block": "352fe87a-e310-4225-9c82-86adf05aade8", "port": "in" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o5" + "block": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", + "port": "out" }, "target": { - "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o4" + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "i" }, - "target": { - "block": "f4978486-1baf-45b6-962d-f7c4f5021560", - "port": "in" - } - }, + "size": 24 + } + ] + } + } + }, + "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6": { + "package": { + "name": "Extract-1-bit", + "version": "0.1", + "description": "Extract 1 bit from a 23-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o3" + "id": "352fe87a-e310-4225-9c82-86adf05aade8", + "type": "basic.output", + "data": { + "name": "" }, - "target": { - "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", - "port": "in" + "position": { + "x": 968, + "y": 296 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o2" + "id": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "type": "basic.input", + "data": { + "name": "", + "range": "[22:0]", + "pins": [ + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false }, - "target": { - "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", - "port": "in" + "position": { + "x": 512, + "y": 296 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false }, - "target": { - "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", - "port": "in" + "position": { + "x": 744, + "y": 184 } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "type": "basic.code", + "data": { + "code": "assign o = i[BIT];", + "params": [ + { + "name": "BIT" + } + ], + "ports": { + "in": [ + { + "name": "i", + "range": "[22:0]", + "size": 23 + } + ], + "out": [ + { + "name": "o" + } + ] + } }, - "target": { - "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", - "port": "in" + "position": { + "x": 672, + "y": 296 + }, + "size": { + "width": 232, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o8" + "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "port": "constant-out" }, "target": { - "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", - "port": "in" - } + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "BIT" + }, + "vertices": [] }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o9" + "block": "15921a47-9c6e-411a-95a4-31330b104b72", + "port": "o" }, "target": { - "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "block": "352fe87a-e310-4225-9c82-86adf05aade8", "port": "in" } }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o10" + "block": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "port": "out" }, "target": { - "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", - "port": "in" - } - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o11" - }, - "target": { - "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", - "port": "in" - } - }, - { - "source": { - "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", + "block": "15921a47-9c6e-411a-95a4-31330b104b72", "port": "i" }, - "size": 12 + "size": 23 } ] } } }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { "package": { - "name": "AND", + "name": "XOR", "version": "1.0.1", - "description": "Puerta AND", + "description": "Puerta XOR", "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { @@ -8102,7 +8313,7 @@ "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", "type": "basic.code", "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "code": "//-- Puerta XOR\n\n//-- module xor (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a ^ b;\n\n//-- endmodule", "params": [], "ports": { "in": [ @@ -8165,174 +8376,314 @@ } } }, - "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { + "7263b9b45cf45542cb1e2badb21718e15c151ca5": { "package": { - "name": "Join-8-4", - "version": "0.0.1", - "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", + "name": "Agregador-bus", + "version": "0.1", + "description": "Agregador de 8 cables de 1-bit a bus de 8-bits", + "author": "Juan González-Gómez (Obijuan)", "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "906137ba-f840-4759-9556-b6a0ec101654", + "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", "type": "basic.input", "data": { - "name": "i1", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "i7", + "clock": false }, "position": { - "x": 136, - "y": 240 + "x": 88, + "y": 160 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "type": "basic.input", + "data": { + "name": "i6", + "clock": false + }, + "position": { + "x": -16, + "y": 192 + } + }, + { + "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "type": "basic.input", + "data": { + "name": "i5", + "clock": false + }, + "position": { + "x": 88, + "y": 232 + } + }, + { + "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "type": "basic.input", + "data": { + "name": "i4", + "clock": false + }, + "position": { + "x": -16, + "y": 264 + } + }, + { + "id": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", "type": "basic.output", "data": { "name": "o", - "range": "[11:0]", - "size": 12 + "range": "[7:0]", + "size": 8 }, "position": { - "x": 704, - "y": 288 + "x": 824, + "y": 280 } }, { - "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "type": "basic.input", + "data": { + "name": "i3", + "clock": false + }, + "position": { + "x": 96, + "y": 296 + } + }, + { + "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "type": "basic.input", + "data": { + "name": "i2", + "clock": false + }, + "position": { + "x": -8, + "y": 328 + } + }, + { + "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "type": "basic.input", + "data": { + "name": "i1", + "clock": false + }, + "position": { + "x": 96, + "y": 368 + } + }, + { + "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", "type": "basic.input", "data": { "name": "i0", - "range": "[3:0]", - "clock": false, - "size": 4 + "clock": false }, "position": { - "x": 136, - "y": 336 + "x": -8, + "y": 400 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = {i1,i0};\n\n", + "code": "assign o = {i7, i6, i5, i4, i3, i2, i1, i0};\n", "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[7:0]", - "size": 8 + "name": "i7" }, { - "name": "i0", - "range": "[3:0]", - "size": 4 + "name": "i6" + }, + { + "name": "i5" + }, + { + "name": "i4" + }, + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" } ], "out": [ { "name": "o", - "range": "[11:0]", - "size": 12 + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 328, - "y": 224 + "x": 296, + "y": 176 }, "size": { - "width": 288, - "height": 192 + "width": 432, + "height": 272 } } ], "wires": [ { "source": { - "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" + "block": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", + "port": "in" }, - "size": 4 + "size": 8 }, { "source": { - "block": "906137ba-f840-4759-9556-b6a0ec101654", + "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" - }, - "size": 8 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i7" + } }, { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "o" + "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "port": "out" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", - "port": "in" - }, - "size": 12 - } - ] - } - } - }, - "a0b8df28f3452068e469a9c210e4e62ae8c78900": { - "package": { - "name": "Valor_0_4bits", - "version": "0.0.1", - "description": "Valor constante 0 para bus de 4 bits", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "53acced8-0736-40f7-8db1-7103a21f31c2", - "type": "basic.output", - "data": { - "name": "k", - "range": "[3:0]", - "size": 4 - }, - "position": { - "x": 928, - "y": 256 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i6" } }, { - "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": true + "source": { + "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "port": "out" }, - "position": { - "x": 728, - "y": 152 + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i5" } }, { - "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", - "type": "9274d3154d579c5922da669b25ca14097a46a22f", + "source": { + "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" + } + }, + { + "source": { + "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + } + }, + { + "source": { + "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + } + }, + { + "source": { + "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + } + ] + } + } + }, + "a0b8df28f3452068e469a9c210e4e62ae8c78900": { + "package": { + "name": "Valor_0_4bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 4 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22255.888%22%20height=%22307.912%22%20viewBox=%220%200%20239.89529%20288.66753%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22372.115%22%20y=%22646.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22372.115%22%20y=%22646.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E0000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22528.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-434.037)%22%3E%3Ctspan%20x=%22528.722%22%20y=%22721.624%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "53acced8-0736-40f7-8db1-7103a21f31c2", + "type": "basic.output", + "data": { + "name": "k", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 928, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "63e1b925-5baa-45a6-abc6-a7ababd05a49", + "type": "9274d3154d579c5922da669b25ca14097a46a22f", "position": { "x": 728, "y": 256 @@ -8463,611 +8814,321 @@ } } }, - "770c28026476212f3ed069d6ca576d596bfda158": { + "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5": { "package": { - "name": "split-1-23", - "version": "0.1-c1588489478854", - "description": "split a 24-bit bus into 1 wire and a 23-bits bus", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "Join-8-4", + "version": "0.0.1", + "description": "Join an 8-bit bus and a 4-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "type": "basic.output", + "id": "906137ba-f840-4759-9556-b6a0ec101654", + "type": "basic.input", "data": { - "name": "o1" + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 584, - "y": 128 + "x": 136, + "y": 240 } }, { - "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "type": "basic.input", + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", "data": { - "name": "i", - "range": "[23:0]", - "clock": false, - "size": 24 + "name": "o", + "range": "[11:0]", + "size": 12 }, "position": { - "x": 64, - "y": 200 + "x": 704, + "y": 288 } }, { - "id": "b64bd4de-2f3d-447f-b060-266885b19807", - "type": "basic.output", + "id": "92d22347-a77a-488e-9e2a-43fb40e3c700", + "type": "basic.input", "data": { - "name": "o0", - "range": "[22:0]", - "size": 23 + "name": "i0", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 584, - "y": 264 + "x": 136, + "y": 336 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "3545528c-05e2-4e95-8223-5b7b77587423", "type": "basic.code", "data": { - "code": "assign o1 = i[23];\nassign o0 = i[22:0];", + "code": "assign o = {i1,i0};\n\n", "params": [], "ports": { "in": [ { - "name": "i", - "range": "[23:0]", - "size": 24 + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 } ], "out": [ { - "name": "o1" - }, - { - "name": "o0", - "range": "[22:0]", - "size": 23 + "name": "o", + "range": "[11:0]", + "size": 12 } ] } }, "position": { - "x": 224, - "y": 176 + "x": 328, + "y": 224 }, "size": { - "width": 256, - "height": 112 + "width": 288, + "height": 192 } } ], "wires": [ { "source": { - "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "block": "92d22347-a77a-488e-9e2a-43fb40e3c700", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" }, - "size": 24 + "size": 4 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "block": "906137ba-f840-4759-9556-b6a0ec101654", + "port": "out" }, "target": { - "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", - "port": "in" - } + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 8 }, { "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" }, "target": { - "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", "port": "in" }, - "size": 23 + "size": 12 } ] } } }, - "7455f923e6af399a439f5304f9502a1671a93d81": { + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { "package": { - "name": "generator-tone-saw", - "version": "0.1", - "description": "tone-saw generator", + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22144.225%22%20x=%22136.745%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22144.225%22%20x=%22136.745%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3ESaw%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413v65.276h38.981l42.952-65.276v65.276h42.387%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", - "otid": 1588504190451 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", "type": "basic.output", "data": { - "name": "sample", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "nc" }, "position": { - "x": 808, - "y": 256 + "x": 840, + "y": 160 } }, { - "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "type": "basic.input", "data": { - "name": "phase", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "", "clock": false }, "position": { - "x": 424, - "y": 272 - } - }, - { - "id": "73b65677-2b5c-4d63-a556-798b5cc6c575", - "type": "df4d912b82af049070ad2e128ae67535efb4c4fc", - "position": { - "x": 608, - "y": 272 - }, - "size": { - "width": 96, - "height": 64 + "x": 152, + "y": 280 } - } - ], - "wires": [ - { - "source": { - "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "port": "out" - }, - "target": { - "block": "73b65677-2b5c-4d63-a556-798b5cc6c575", - "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" - }, - "size": 24 }, { - "source": { - "block": "73b65677-2b5c-4d63-a556-798b5cc6c575", - "port": "227b5ea0-4b5f-4e9b-9043-31286df08ef2" - }, - "target": { - "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "port": "in" - }, - "size": 12 - } - ] - } - } - }, - "df4d912b82af049070ad2e128ae67535efb4c4fc": { - "package": { - "name": "Separador-12-12 CLONE", - "version": "0.1", - "description": "Separador de bus de 24-bits en dos buses de 12 y 12 bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "227b5ea0-4b5f-4e9b-9043-31286df08ef2", + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "type": "basic.output", "data": { - "name": "o1", - "range": "[11:0]", - "size": 12 + "name": "" }, "position": { - "x": 584, - "y": 128 + "x": 840, + "y": 400 } }, { - "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "type": "basic.input", + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", "data": { - "name": "i", - "range": "[23:0]", - "clock": false, - "size": 24 + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true }, "position": { - "x": 64, - "y": 200 + "x": 176, + "y": -16 + }, + "size": { + "width": 568, + "height": 80 } }, { - "id": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", - "type": "basic.output", + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", "data": { - "name": "o0", - "range": "[11:0]", - "size": 12 + "info": "Input signal", + "readonly": true }, "position": { - "x": 576, - "y": 232 + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", "data": { - "code": "assign o1 = i[23:12];\nassign o0 = i[11:0];", - "params": [], - "ports": { - "in": [ - { - "name": "i", - "range": "[23:0]", - "size": 24 - } - ], - "out": [ - { - "name": "o1", - "range": "[23:12]", - "size": 12 - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 - } - ] - } + "info": "System clock", + "readonly": true }, "position": { - "x": 224, - "y": 176 + "x": 168, + "y": 120 }, "size": { - "width": 256, - "height": 112 + "width": 96, + "height": 48 } - } - ], - "wires": [ - { - "source": { - "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", - "port": "out" - }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" - }, - "size": 24 }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" - }, - "target": { - "block": "227b5ea0-4b5f-4e9b-9043-31286df08ef2", - "port": "in" - }, - "size": 12 - }, - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" - }, - "target": { - "block": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", - "port": "in" - }, - "size": 12 - } - ] - } - } - }, - "a2dee03e657a227300f2452e83621f3d51dde843": { - "package": { - "name": "generator-tone-triangle", - "version": "0.1", - "description": "tone-triangle generator", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ETriang.%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413l38.981%2065.276%2042.952-65.276%2042.387%2065.276%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", - "otid": 1588504190451 - }, - "design": { - "graph": { - "blocks": [ - { - "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "type": "basic.output", + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", "data": { - "name": "sample", - "range": "[11:0]", - "size": 12 + "info": "Current signal \nstate", + "readonly": true }, "position": { - "x": 1424, - "y": 464 + "x": 328, + "y": 456 + }, + "size": { + "width": 168, + "height": 48 } }, { - "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "type": "basic.input", + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", "data": { - "name": "phase", - "range": "[23:0]", - "clock": false, - "size": 24 + "info": "Signal state in the previous \nclock cycle", + "readonly": true }, "position": { "x": 328, - "y": 608 - } - }, - { - "id": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "type": "770c28026476212f3ed069d6ca576d596bfda158", - "position": { - "x": 504, - "y": 608 + "y": 200 }, "size": { - "width": 96, - "height": 64 + "width": 248, + "height": 48 } }, { - "id": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "type": "ca1820001823848c6dc78e51cc1fbb0428844784", + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, "position": { - "x": 672, - "y": 656 + "x": 728, + "y": 256 }, "size": { - "width": 96, - "height": 64 + "width": 344, + "height": 96 } }, { - "id": "5d537c32-ed34-4830-8226-c5c7453e56be", - "type": "12a890788daaa9c56b17d1359a50f5b901ed904e", + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, "position": { - "x": 848, - "y": 376 + "x": 528, + "y": 504 }, "size": { - "width": 96, - "height": 64 + "width": 416, + "height": 88 } }, { - "id": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "type": "c4c55ef0789d51deb758cb3be04a41176645f300", + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", "position": { - "x": 1048, - "y": 432 + "x": 552, + "y": 280 }, "size": { "width": 96, - "height": 96 + "height": 64 } }, { - "id": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "type": "d4c82efb5b8421e49ac9b858ba26d2ae330d410a", + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", "position": { - "x": 1240, - "y": 464 + "x": 696, + "y": 400 }, "size": { "width": 96, @@ -9075,11 +9136,11 @@ } }, { - "id": "fd58c545-9948-4421-87c1-8ee1066a801c", - "type": "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542", + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", "position": { - "x": 1096, - "y": 552 + "x": 320, + "y": 264 }, "size": { "width": 96, @@ -9090,1881 +9151,11336 @@ "wires": [ { "source": { - "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "port": "b64bd4de-2f3d-447f-b060-266885b19807" - }, - "target": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "dbd6fff4-5a1d-4732-b053-638352f8b401" - }, - "vertices": [], - "size": 23 - }, - { - "source": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" - }, - "target": { - "block": "5d537c32-ed34-4830-8226-c5c7453e56be", - "port": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b" - }, - "vertices": [], - "size": 11 - }, - { - "source": { - "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", - "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" - }, - "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "a2157279-c57a-4afc-b8f7-09d3dfe4031d" - }, - "vertices": [ - { - "x": 832, - "y": 568 - } - ], - "size": 11 - }, - { - "source": { - "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" }, "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "1e637a79-4a6d-495c-bcac-9664bdbe4b94" - }, - "vertices": [] + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } }, { "source": { - "block": "5d537c32-ed34-4830-8226-c5c7453e56be", - "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" }, "target": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17" - }, - "vertices": [], - "size": 11 + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } }, { "source": { - "block": "91fd060b-f794-41c0-a2ac-623ed02e3a75", - "port": "9475475f-e8c6-4e95-8f49-3bb3465377ef" + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "port": "7dd3ca07-cf28-42b5-99cf-f85078c1c448" - }, - "vertices": [], - "size": 11 + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "fd58c545-9948-4421-87c1-8ee1066a801c", - "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "port": "838102af-d39e-4d6b-be4d-558ffe297b05" - }, - "vertices": [] + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } }, { "source": { - "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", "port": "out" }, "target": { - "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", - "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" - }, - "size": 24 + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } }, { "source": { - "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", "port": "in" - }, - "size": 12 + } } ] } } }, - "ca1820001823848c6dc78e51cc1fbb0428844784": { + "3676a00f3a70e406487ed14b901daf3e4984e63d": { "package": { - "name": "split-11-12", - "version": "0.1", - "description": "Split a 23-bit bus into one of 11-bits and another of 12-bits", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", - "type": "basic.output", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", "data": { - "name": "o1", - "range": "[10:0]", - "pins": [ - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false - }, - "position": { - "x": 576, - "y": 176 - } - }, - { - "id": "dbd6fff4-5a1d-4732-b053-638352f8b401", - "type": "basic.input", - "data": { - "name": "i", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "" }, "position": { - "x": 56, - "y": 200 + "x": 112, + "y": 72 } }, { - "id": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", "type": "basic.output", "data": { - "name": "o0", - "range": "[11:0]", - "size": 12, - "virtual": false + "name": "" }, "position": { - "x": 576, - "y": 232 + "x": 560, + "y": 72 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", "type": "basic.code", "data": { - "code": "assign o1 = i[22:12];\nassign o0 = i[11:0];", + "code": "//-- NOT Gate\nassign q = ~a;\n\n", "params": [], "ports": { "in": [ { - "name": "i", - "range": "[22:0]", - "size": 23 + "name": "a" } ], "out": [ { - "name": "o1", - "range": "[10:0]", - "size": 11 - }, - { - "name": "o0", - "range": "[11:0]", - "size": 12 + "name": "q" } ] } }, "position": { - "x": 224, - "y": 176 + "x": 256, + "y": 48 }, "size": { "width": 256, - "height": 112 + "height": 104 } - } - ], - "wires": [ + }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o0" + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true }, - "target": { - "block": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", - "port": "in" + "position": { + "x": 128, + "y": 32 }, - "size": 12 + "size": { + "width": 80, + "height": 40 + } }, { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o1" + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true }, - "target": { - "block": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", - "port": "in" + "position": { + "x": 576, + "y": 40 }, - "size": 11 - }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ { "source": { - "block": "dbd6fff4-5a1d-4732-b053-638352f8b401", + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i" + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" }, - "size": 23 + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } } ] } } }, - "12a890788daaa9c56b17d1359a50f5b901ed904e": { + "7a11921b0d0ebdd41ebb33ea6eb263c988ac39da": { "package": { - "name": "NOT-11bits", - "version": "1.0.3-", - "description": "11-bits bus not gate", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "23-SL", + "version": "0.6", + "description": "23-SL: 23 bits shift left register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22286.865%22%20height=%22270.294%22%20viewBox=%220%200%2075.899794%2071.515403%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20style=%22-inkscape-stroke:none%22%20d=%22M43.907%2045.917h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-15.223)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-16.16)%22/%3E%3C/g%3E%3Cg%20fill=%22#00f%22%3E%3Cpath%20d=%22M29.925%205.385H19.28M61.424%203.789c.831%200%201.506.662%201.506%201.481%200%20.819-.675%201.482-1.506%201.481H19.603a1.494%201.494%200%200%201-1.507-1.48c0-.82.675-1.483%201.507-1.482z%22%20color=%22#000%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M14.971%205.385l6.46%203.676V1.71z%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20stroke=%22#00f%22%20transform=%22matrix(-.70563%200%200%20.69474%2071.714%20-128.57)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.21)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "id": "2db5500c-71a6-4335-8bd0-701afda87946", "type": "basic.output", "data": { - "name": "", - "range": "[10:0]", - "pins": [ - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "name": "nc" }, "position": { - "x": 736, - "y": 144 + "x": 824, + "y": -40 } }, { - "id": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { "name": "", - "range": "[10:0]", - "pins": [ - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "clock": true }, "position": { - "x": 80, - "y": 144 + "x": 224, + "y": 48 } }, { - "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "type": "basic.code", + "id": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c", + "type": "basic.output", "data": { - "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", - "params": [], - "ports": { - "in": [ - { - "name": "a", - "range": "[10:0]", - "size": 11 - } - ], - "out": [ - { - "name": "c", - "range": "[10:0]", - "size": 11 - } - ] - } + "name": "so" }, "position": { - "x": 256, - "y": 48 - }, - "size": { - "width": 400, - "height": 256 + "x": 808, + "y": 80 } - } - ], - "wires": [ - { - "source": { - "block": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", - "port": "out" - }, - "target": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "a" - }, - "size": 11 }, { - "source": { - "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", - "port": "c" - }, - "target": { - "block": "0c3c02a5-0dad-4813-94cf-49997cf44c82", - "port": "in" - }, - "size": 11 - } - ] - } - } - }, - "c4c55ef0789d51deb758cb3be04a41176645f300": { - "package": { - "name": "11bits-2-1-Mux", - "version": "0.1", - "description": "11 bits, 2-1 Multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", + "id": "adb65ce3-8256-4acb-ad39-154262efb1ad", "type": "basic.input", "data": { - "name": "i0", - "range": "[10:0]", - "clock": false, - "size": 11 + "name": "si", + "clock": false }, "position": { - "x": -648, - "y": -88 + "x": 216, + "y": 176 } }, { - "id": "9475475f-e8c6-4e95-8f49-3bb3465377ef", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "type": "basic.output", "data": { "name": "", - "range": "[10:0]", - "size": 11 + "range": "[22:0]", + "size": 23 }, "position": { - "x": -88, - "y": 0 + "x": 808, + "y": 272 } }, { - "id": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", + "id": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e", "type": "basic.input", "data": { - "name": "i1", - "range": "[10:0]", - "clock": false, - "size": 11 + "name": "shift", + "clock": false }, "position": { - "x": -656, - "y": 0 + "x": 224, + "y": 304 } }, { - "id": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", - "type": "basic.input", + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", "data": { - "name": "sel", - "clock": false + "name": "", + "value": "0", + "local": false }, "position": { - "x": -656, - "y": 88 + "x": 504, + "y": -96 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "2b116f4a-1eb0-4542-b65d-b383511a5085", "type": "basic.code", "data": { - "code": "\nreg [10:0] o;\n\nalways @(*) begin\n case(sel)\n 0: o = i0;\n 1: o = i1;\n default: o = i0;\n endcase\nend\n\n", - "params": [], "ports": { "in": [ { - "name": "i1", - "range": "[10:0]", - "size": 11 + "name": "clk" }, { - "name": "i0", - "range": "[10:0]", - "size": 11 + "name": "sin" }, { - "name": "sel" + "name": "shift" } ], "out": [ { - "name": "o", - "range": "[10:0]", - "size": 11 + "name": "sout" + }, + { + "name": "q", + "range": "[22:0]", + "size": 23 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic Shift left register\n//-- Number of bits\nlocalparam N = 23;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Shift to the left when the\n //-- shift iput is 1\n if (shift == 1)\n\n //-- Shift to the left\n qi <= {qi[N-2:0], sin};\nend\n\n//-- Serial out: \n//-- It is the most significant bit\nassign sout = qi[N-1];\n\n//-- Paralell out\nassign q = qi;\n" }, "position": { - "x": -464, - "y": -104 + "x": 376, + "y": 16 }, "size": { - "width": 304, - "height": 272 + "width": 352, + "height": 384 } } ], "wires": [ { "source": { - "block": "1e637a79-4a6d-495c-bcac-9664bdbe4b94", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "sel" + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "clk" } }, { "source": { - "block": "2eea7157-f118-4e81-9eeb-fbea4e4d0c17", + "block": "adb65ce3-8256-4acb-ad39-154262efb1ad", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "sin" + } + }, + { + "source": { + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" }, - "size": 11 + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "INI" + } }, { "source": { - "block": "a2157279-c57a-4afc-b8f7-09d3dfe4031d", - "port": "out" + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "q" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "port": "in" }, - "size": 11 + "size": 23 }, { "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "sout" }, "target": { - "block": "9475475f-e8c6-4e95-8f49-3bb3465377ef", + "block": "d269d7ec-85b9-4c7f-b700-18ffdca4ce0c", "port": "in" + } + }, + { + "source": { + "block": "d7dd1105-d7cd-4fcc-a6b1-7eae6282506e", + "port": "out" }, - "size": 11 + "target": { + "block": "2b116f4a-1eb0-4542-b65d-b383511a5085", + "port": "shift" + } } ] } } }, - "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { + "d6c1b6d378c44dec78d14ca3afca5eb4fbed4223": { "package": { - "name": "Join-11-1", - "version": "0.0.1", - "description": "Join an 11-bits bus and a 1-bit bus into a 12-bits bus", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "tone_generator_pulse", + "version": "0.1", + "description": "Generate a squeare signal (pulse) from the timebase", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20id=%22Ebene_1%22%20width=%22202.334%22%20height=%22161.714%22%20viewBox=%220%200%20202.33365%20161.71387%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20id=%22a%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path919%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cpath%20id=%22path998%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-7%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-2%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-4%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-62%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-99%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3-1%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1-3%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a-6%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880-9%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321-3%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM-8%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339-0%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297-6%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456-6%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430-0%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67-4%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path1228%22/%3E%3Ccircle%20id=%22gpDot%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt4%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5%22%20id=%22gpPt6%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt8%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7%22%20id=%22gpPt9%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9%22%20id=%22gpPt10%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3%22%20id=%22gpPt11%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11%22%20id=%22gpPt12%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpDot-3%22%20r=%221%22/%3E%3Cpath%20id=%22gpPt0-6%22%20d=%22M-1%200h2M0-1v2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt1-7%22%20d=%22M-1-1l2%202m0-2l-2%202%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt2-5%22%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt4-5%22%20fill=%22currentColor%22/%3E%3Ccircle%20id=%22gpPt5-6%22%20r=%221%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt5-6%22%20id=%22gpPt6-2%22%20fill=%22currentColor%22/%3E%3Cpath%20id=%22gpPt7-9%22%20d=%22M0-1.33l-1.33%202h2.66z%22%20stroke-width=%22.133%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt8-1%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt7-9%22%20id=%22gpPt9-2%22%20transform=%22scale(-1)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt9-2%22%20id=%22gpPt10-7%22%20fill=%22currentColor%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt3-3%22%20id=%22gpPt11-0%22%20transform=%22rotate(45)%22/%3E%3Cuse%20height=%22100%25%22%20width=%22100%25%22%20xlink:href=%22#gpPt11-0%22%20id=%22gpPt12-9%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20r=%2236.696%22%20cy=%22123.902%22%20cx=%2237.811%22%20id=%22path1606%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22144.44%22%20y=%22144.225%22%20id=%22text849%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20id=%22tspan847%22%20x=%22144.44%22%20y=%22144.225%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3EPulse%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22-163.768%22%20y=%22378.437%22%20id=%22text1419%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20d=%22M844.847%2091.713%22%20id=%22path2375%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M454.235-55.93699999999998%22%20id=%22path2399%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M519.337-55.93699999999998%22%20id=%22path2411%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M844.847-55.93699999999998%22%20id=%22path2471%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20id=%22path2487%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20id=%22graph%22%20d=%22M22.832%2066.689V1.413h42.953v65.276h38.981V1.413h42.952v65.276h42.387%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", + "otid": 1588447340837 }, "design": { "graph": { "blocks": [ { - "id": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "id": "42537563-f4f5-4a36-abbb-3a8111a7cd98", "type": "basic.input", "data": { - "name": "i1", - "range": "[10:0]", + "name": "amp", + "range": "[7:0]", "clock": false, - "size": 11 + "size": 8 }, "position": { - "x": 160, - "y": 240 + "x": 136, + "y": -128 } }, { - "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "id": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", "type": "basic.output", "data": { - "name": "o", + "name": "sample", "range": "[11:0]", "size": 12 }, "position": { - "x": 704, - "y": 288 + "x": 944, + "y": -96 } }, { - "id": "838102af-d39e-4d6b-be4d-558ffe297b05", + "id": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", "type": "basic.input", "data": { - "name": "i0", - "clock": false + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 152, - "y": 336 + "x": 144, + "y": 168 } }, { - "id": "3545528c-05e2-4e95-8223-5b7b77587423", - "type": "basic.code", + "id": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "type": "704dd5f8afe62655a24799888c6f808656700e78", + "position": { + "x": 752, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", + "position": { + "x": 536, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", + "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", + "position": { + "x": 384, + "y": -48 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "type": "770c28026476212f3ed069d6ca576d596bfda158", + "position": { + "x": 304, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a015b861-c345-41dd-972a-125df93d8320", + "type": "basic.info", "data": { - "code": "assign o = {i1,i0};\n\n", - "params": [], - "ports": { - "in": [ - { - "name": "i1", - "range": "[10:0]", - "size": 11 - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[11:0]", - "size": 12 - } - ] - } + "info": "In the first half phase the output is 0, \nin the second half phase the output is the amplitude ", + "readonly": true }, "position": { - "x": 328, - "y": 224 + "x": 296, + "y": 248 + }, + "size": { + "width": 440, + "height": 80 + } + }, + { + "id": "64b452b9-f831-4681-8b41-1bf40f50a7f6", + "type": "basic.info", + "data": { + "info": "The sample is 12 bits \nOnly the 8 MSB is used for the \namplitude. The 4 lsb are 0", + "readonly": true + }, + "position": { + "x": 416, + "y": -200 }, "size": { "width": 288, - "height": 192 + "height": 72 } } ], "wires": [ { "source": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "o" + "block": "ffc2e2e5-6ced-4ad2-9095-253611543ef7", + "port": "53acced8-0736-40f7-8db1-7103a21f31c2" }, "target": { - "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", - "port": "in" + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" }, - "size": 12 + "vertices": [], + "size": 4 }, { "source": { - "block": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "block": "42537563-f4f5-4a36-abbb-3a8111a7cd98", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i1" + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "906137ba-f840-4759-9556-b6a0ec101654" }, - "size": 11 + "size": 8 }, { "source": { - "block": "838102af-d39e-4d6b-be4d-558ffe297b05", + "block": "a3761f96-35ce-44c2-bdf6-b55824a9d77a", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + }, + "target": { + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "29bbd7ca-c0f4-493d-b010-d91490b2ba36" + }, + "size": 12 + }, + { + "source": { + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3" + }, + "target": { + "block": "5d622c8f-f153-43c4-ad9e-d0a898fa2480", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "2ed1e200-926c-48d0-bc45-7c5d9ae51ed9", "port": "out" }, "target": { - "block": "3545528c-05e2-4e95-8223-5b7b77587423", - "port": "i0" + "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + }, + "size": 24 + }, + { + "source": { + "block": "4f510f4e-d78b-44ad-af22-ff81329e68fa", + "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + }, + "target": { + "block": "8b9e46a8-4ece-42e8-8d33-6e26b7d3ad7c", + "port": "dc0503e7-6d73-4528-8588-e07859b9246b" } } ] } } }, - "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { + "704dd5f8afe62655a24799888c6f808656700e78": { "package": { - "name": "0", + "name": "Channel-enable", "version": "0.1", - "description": "Un bit constante a 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "description": "12-bits Channel enable gate", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2258.054%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588407195351 }, "design": { "graph": { "blocks": [ { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", + "id": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "type": "basic.input", "data": { - "name": "" + "name": "", + "range": "[11:0]", + "clock": false, + "size": 12 }, "position": { - "x": 512, - "y": 160 + "x": 16, + "y": 320 } }, { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", + "id": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "type": "basic.output", "data": { - "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } + "name": "", + "range": "[11:0]", + "size": 12 }, "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 256, - "height": 160 - } - } - ], - "wires": [ - { - "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" - }, - "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" + "x": 1104, + "y": 336 } - } - ] - } - } - }, - "4620ea20125ce2c6b0f7ac704483dd0662ce25b6": { - "package": { - "name": "12bits-Mux-4-1-bus", - "version": "0.0.1", - "description": "8-bits 4-1 multiplexer", - "author": "Juan Gonzalez-Gomez (obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2246.347%22%20height=%22182.066%22%20viewBox=%220%200%2043.450545%20170.68778%22%3E%3Cpath%20d=%22M42.044%2021.324c0-7.134-3.893-13.724-10.206-17.275a20.674%2020.674%200%200%200-20.365.08C5.189%207.728%201.349%2014.347%201.407%2021.481v127.723c-.058%207.135%203.782%2013.755%2010.066%2017.355a20.674%2020.674%200%200%200%2020.365.079c6.313-3.551%2010.206-10.14%2010.206-17.275z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%2270.768%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%2270.768%22%3E2%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.018%22%20y=%2227.719%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.018%22%20y=%2227.719%22%3E3%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%22113.534%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%22113.534%22%3E1%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%22156.655%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%22156.655%22%3E0%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + }, { - "id": "5439f597-1d7a-450f-ba6c-56aeb4dacb0e", + "id": "dc0503e7-6d73-4528-8588-e07859b9246b", "type": "basic.input", "data": { - "name": "i3", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "en", "clock": false }, "position": { - "x": -704, - "y": -112 + "x": 48, + "y": 784 } }, { - "id": "36bde024-b4d5-447f-baca-32fdfaccd1b3", - "type": "basic.input", - "data": { - "name": "i2", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "id": "be3e513d-01cc-4283-b6fd-08a819830611", + "type": "8d2bd952c205c3c773c9ac9da1c6bba1f3166165", + "position": { + "x": 896, + "y": 176 }, + "size": { + "width": 96, + "height": 384 + } + }, + { + "id": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "type": "3b52d5a4df7042b0cd9f5945ae31497b4741aa22", "position": { - "x": -704, - "y": -56 + "x": 256, + "y": 160 + }, + "size": { + "width": 96, + "height": 384 } }, { - "id": "8d1e67f2-6537-4066-9fad-614e35f1e2e2", - "type": "basic.output", - "data": { - "name": "o", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "id": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": -72 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a7438cb7-d571-484e-852d-5a1af26158f7", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", "position": { - "x": -40, - "y": -8 + "x": 664, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "74a62521-e0ae-4e8a-91b8-ca632dacf5ea", - "type": "basic.input", - "data": { - "name": "i1", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "id": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 72 }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", "position": { - "x": -704, - "y": -8 + "x": 664, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "a8691f68-8aa5-4cfe-a84f-a6a9010e3211", - "type": "basic.input", - "data": { - "name": "i0", - "range": "[11:0]", - "pins": [ - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "id": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2f5c5027-6968-425d-848f-44e20329a15c", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 480 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 552 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "756c0631-762c-418b-879d-eb4bb02aa428", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 624 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 696 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a970977d-5030-4145-ae62-6ef064aee10e", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 768 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", + "position": { + "x": 664, + "y": 408 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "ee811595-5804-4013-af30-15cd89b5bed2" + }, + "target": { + "block": "ee9246f0-18d1-4b35-ae1a-c1cec97b9ce3", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "29bbd7ca-c0f4-493d-b010-d91490b2ba36", + "port": "out" + }, + "target": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "fe5020db-a60f-4599-9ca5-f44b0db5427b" + }, + "size": 12 + }, + { + "source": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "34a70faa-545d-472d-a775-ca0c7a878fa0" + } + }, + { + "source": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418" + }, + "vertices": [ + { + "x": 848, + "y": 120 + } + ] + }, + { + "source": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "bdeb0b0d-6ddd-4a94-805f-838367512340" + }, + "vertices": [ + { + "x": 832, + "y": 192 + } + ] + }, + { + "source": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "762a7624-4c3e-461e-8aee-6f74b0633be0" + }, + "vertices": [ + { + "x": 816, + "y": 256 + } + ] + }, + { + "source": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + }, + "vertices": [ + { + "x": 800, + "y": 280 + } + ] + }, + { + "source": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + } + }, + { + "source": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + } + }, + { + "source": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + }, + "vertices": [ + { + "x": 864, + "y": 712 + } + ] + }, + { + "source": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "42733799-2dd4-429b-bd43-a478067a10a6" + }, + "vertices": [ + { + "x": 848, + "y": 640 + } + ] + }, + { + "source": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + }, + "vertices": [ + { + "x": 832, + "y": 552 + } + ] + }, + { + "source": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + }, + "vertices": [ + { + "x": 824, + "y": 480 + } + ] + }, + { + "source": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "be3e513d-01cc-4283-b6fd-08a819830611", + "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + }, + "vertices": [ + { + "x": 808, + "y": 400 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "271de759-e2f1-4552-8806-9cd32e3a6eba" + }, + "target": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 392, + "y": -32 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "54c1b31b-6d50-4d49-8e9d-9953592f67f0" + }, + "target": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 88 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c0382091-67a4-462a-8c1c-ea7aba047b50" + }, + "target": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 152 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "9ec7ba20-6620-40af-afb9-9fa83530e37b" + }, + "target": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 216 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "0bde1d8b-d5ba-439f-a691-7800e974e4e8" + }, + "target": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 280 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "321d2ab9-2a18-427b-87f2-c8ab00c82748" + }, + "target": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8bc11788-a566-4b3d-8ac6-c790b96ef020" + }, + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 456, + "y": 400 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "f4978486-1baf-45b6-962d-f7c4f5021560" + }, + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 440, + "y": 464 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6" + }, + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 424, + "y": 496 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "57f18bd1-7046-46b4-b516-e5fed9ea0531" + }, + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 408, + "y": 576 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "8f820edf-fddd-4c1e-9821-c19bca55ecb9" + }, + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 392, + "y": 640 + } + ] + }, + { + "source": { + "block": "4c8a2aa9-63bb-48fe-aeb7-1edf459dd720", + "port": "c78339d8-9ea1-429f-8149-1f6f1fbba838" + }, + "target": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 368, + "y": 720 + } + ] + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "a970977d-5030-4145-ae62-6ef064aee10e", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "c5e155ab-4c66-4ce9-819b-bdc5e6710fd5", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "756c0631-762c-418b-879d-eb4bb02aa428", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "1d247ccc-2185-4d7c-a3e9-c82fc7d9714d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "d8b50615-7a9a-44c4-8668-fda9bfc12dff", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "ea730722-c2a0-455d-8b0a-bfccac4b08d2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "2f5c5027-6968-425d-848f-44e20329a15c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "f4995324-8090-44d9-bd08-dd2f17e77a37", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "b465a3c5-0948-4ab2-b14b-06819310ca55", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "fd1af072-4f57-4468-accf-4dd54a3f7852", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "a7438cb7-d571-484e-852d-5a1af26158f7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "dc0503e7-6d73-4528-8588-e07859b9246b", + "port": "out" + }, + "target": { + "block": "8c22593d-c399-43b3-b14c-3c9e4d2602df", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "8d2bd952c205c3c773c9ac9da1c6bba1f3166165": { + "package": { + "name": "join-bus-11-bits", + "version": "0.1", + "description": "Join 11 wires into a 11-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "type": "basic.input", + "data": { + "name": "i11", + "clock": false + }, + "position": { + "x": 80, + "y": 160 + } + }, + { + "id": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "type": "basic.input", + "data": { + "name": "i10", + "clock": false + }, + "position": { + "x": -40, + "y": 200 + } + }, + { + "id": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "type": "basic.input", + "data": { + "name": "i9", + "clock": false + }, + "position": { + "x": 80, + "y": 240 + } + }, + { + "id": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "type": "basic.input", + "data": { + "name": "i8", + "clock": false + }, + "position": { + "x": -40, + "y": 280 + } + }, + { + "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "type": "basic.input", + "data": { + "name": "i7", + "clock": false + }, + "position": { + "x": 80, + "y": 320 + } + }, + { + "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "type": "basic.input", + "data": { + "name": "i6", + "clock": false + }, + "position": { + "x": -40, + "y": 360 + } + }, + { + "id": "ee811595-5804-4013-af30-15cd89b5bed2", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 1032, + "y": 384 + } + }, + { + "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "type": "basic.input", + "data": { + "name": "i5", + "clock": false + }, + "position": { + "x": 80, + "y": 400 + } + }, + { + "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "type": "basic.input", + "data": { + "name": "i4", + "clock": false + }, + "position": { + "x": -32, + "y": 440 + } + }, + { + "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "type": "basic.input", + "data": { + "name": "i3", + "clock": false + }, + "position": { + "x": 88, + "y": 480 + } + }, + { + "id": "42733799-2dd4-429b-bd43-a478067a10a6", + "type": "basic.input", + "data": { + "name": "i2", + "clock": false + }, + "position": { + "x": -40, + "y": 520 + } + }, + { + "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "type": "basic.input", + "data": { + "name": "i1", + "clock": false + }, + "position": { + "x": 80, + "y": 560 + } + }, + { + "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": -24, + "y": 600 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i11, i10, i9, i8, i7, i6, i5, i4, i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i11" + }, + { + "name": "i10" + }, + { + "name": "i9" + }, + { + "name": "i8" + }, + { + "name": "i7" + }, + { + "name": "i6" + }, + { + "name": "i5" + }, + { + "name": "i4" + }, + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 656, + "height": 472 + } + } + ], + "wires": [ + { + "source": { + "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i7" + } + }, + { + "source": { + "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i6" + } + }, + { + "source": { + "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i5" + } + }, + { + "source": { + "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" + } + }, + { + "source": { + "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + } + }, + { + "source": { + "block": "42733799-2dd4-429b-bd43-a478067a10a6", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + } + }, + { + "source": { + "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "ee811595-5804-4013-af30-15cd89b5bed2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "762a7624-4c3e-461e-8aee-6f74b0633be0", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i8" + } + }, + { + "source": { + "block": "bdeb0b0d-6ddd-4a94-805f-838367512340", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i9" + } + }, + { + "source": { + "block": "f8dd22a2-c9ba-4a8d-944a-7cb5c05e9418", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i10" + } + }, + { + "source": { + "block": "34a70faa-545d-472d-a775-ca0c7a878fa0", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i11" + } + } + ] + } + } + }, + "3b52d5a4df7042b0cd9f5945ae31497b4741aa22": { + "package": { + "name": "Separador-bus", + "version": "0.1", + "description": "Separador de bus de 12-bits en 12 cables", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "type": "basic.output", + "data": { + "name": "o11" + }, + "position": { + "x": 552, + "y": -176 + } + }, + { + "id": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "type": "basic.output", + "data": { + "name": "o10" + }, + "position": { + "x": 584, + "y": -120 + } + }, + { + "id": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "type": "basic.output", + "data": { + "name": "o9" + }, + "position": { + "x": 616, + "y": -64 + } + }, + { + "id": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "type": "basic.output", + "data": { + "name": "o8" + }, + "position": { + "x": 656, + "y": -8 + } + }, + { + "id": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "type": "basic.output", + "data": { + "name": "o7" + }, + "position": { + "x": 712, + "y": 48 + } + }, + { + "id": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "type": "basic.output", + "data": { + "name": "o6" + }, + "position": { + "x": 728, + "y": 104 + } + }, + { + "id": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "type": "basic.output", + "data": { + "name": "o5" + }, + "position": { + "x": 752, + "y": 160 + } + }, + { + "id": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "type": "basic.input", + "data": { + "name": "i", + "range": "[11:0]", + "clock": false, + "size": 12 + }, + "position": { + "x": 16, + "y": 192 + } + }, + { + "id": "f4978486-1baf-45b6-962d-f7c4f5021560", + "type": "basic.output", + "data": { + "name": "o4" + }, + "position": { + "x": 784, + "y": 216 + } + }, + { + "id": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "type": "basic.output", + "data": { + "name": "o3" + }, + "position": { + "x": 768, + "y": 272 + } + }, + { + "id": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "type": "basic.output", + "data": { + "name": "o2" + }, + "position": { + "x": 728, + "y": 328 + } + }, + { + "id": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 600, + "y": 352 + } + }, + { + "id": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "type": "basic.output", + "data": { + "name": "o0" + }, + "position": { + "x": 536, + "y": 416 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o11 = i[11];\nassign o10 = i[10];\nassign o9 = i[9];\nassign o8 = i[8];\nassign o7 = i[7];\nassign o6 = i[6];\nassign o5 = i[5];\nassign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[11:0]", + "size": 12 + } + ], + "out": [ + { + "name": "o11" + }, + { + "name": "o10" + }, + { + "name": "o9" + }, + { + "name": "o8" + }, + { + "name": "o7" + }, + { + "name": "o6" + }, + { + "name": "o5" + }, + { + "name": "o4" + }, + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 192, + "y": 80 + }, + "size": { + "width": 256, + "height": 280 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o7" + }, + "target": { + "block": "0bde1d8b-d5ba-439f-a691-7800e974e4e8", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o6" + }, + "target": { + "block": "321d2ab9-2a18-427b-87f2-c8ab00c82748", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o5" + }, + "target": { + "block": "8bc11788-a566-4b3d-8ac6-c790b96ef020", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o4" + }, + "target": { + "block": "f4978486-1baf-45b6-962d-f7c4f5021560", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "aa4f77c6-273c-46ba-8bc9-3f56b3bebde6", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "57f18bd1-7046-46b4-b516-e5fed9ea0531", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "8f820edf-fddd-4c1e-9821-c19bca55ecb9", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "c78339d8-9ea1-429f-8149-1f6f1fbba838", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o8" + }, + "target": { + "block": "9ec7ba20-6620-40af-afb9-9fa83530e37b", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o9" + }, + "target": { + "block": "c0382091-67a4-462a-8c1c-ea7aba047b50", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o10" + }, + "target": { + "block": "54c1b31b-6d50-4d49-8e9d-9953592f67f0", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o11" + }, + "target": { + "block": "271de759-e2f1-4552-8806-9cd32e3a6eba", + "port": "in" + } + }, + { + "source": { + "block": "fe5020db-a60f-4599-9ca5-f44b0db5427b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 12 + } + ] + } + } + }, + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "package": { + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 88 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 784, + "y": 152 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 224 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "770c28026476212f3ed069d6ca576d596bfda158": { + "package": { + "name": "split-1-23", + "version": "0.1-c1588489478854", + "description": "split a 24-bit bus into 1 wire and a 23-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 584, + "y": 128 + } + }, + { + "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "type": "basic.input", + "data": { + "name": "i", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 64, + "y": 200 + } + }, + { + "id": "b64bd4de-2f3d-447f-b060-266885b19807", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[22:0]", + "size": 23 + }, + "position": { + "x": 584, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23];\nassign o0 = i[22:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[22:0]", + "size": 23 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 + }, + "size": { + "width": 256, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "b64bd4de-2f3d-447f-b060-266885b19807", + "port": "in" + }, + "size": 23 + } + ] + } + } + }, + "130c1fe87a38bc44c0e4451bb2ecfde7ea212974": { + "package": { + "name": "generator-tone-saw", + "version": "0.1", + "description": "tone-saw generator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22144.225%22%20x=%22136.745%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22144.225%22%20x=%22136.745%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3ESaw%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413v65.276h38.981l42.952-65.276v65.276h42.387%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", + "otid": 1588504190451 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 808, + "y": 256 + } + }, + { + "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 424, + "y": 272 + } + }, + { + "id": "73b65677-2b5c-4d63-a556-798b5cc6c575", + "type": "df4d912b82af049070ad2e128ae67535efb4c4fc", + "position": { + "x": 608, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "port": "out" + }, + "target": { + "block": "73b65677-2b5c-4d63-a556-798b5cc6c575", + "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + }, + "size": 24 + }, + { + "source": { + "block": "73b65677-2b5c-4d63-a556-798b5cc6c575", + "port": "227b5ea0-4b5f-4e9b-9043-31286df08ef2" + }, + "target": { + "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "df4d912b82af049070ad2e128ae67535efb4c4fc": { + "package": { + "name": "Separador-12-12 CLONE", + "version": "0.1", + "description": "Separador de bus de 24-bits en dos buses de 12 y 12 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "227b5ea0-4b5f-4e9b-9043-31286df08ef2", + "type": "basic.output", + "data": { + "name": "o1", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 584, + "y": 128 + } + }, + { + "id": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "type": "basic.input", + "data": { + "name": "i", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 64, + "y": 200 + } + }, + { + "id": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 576, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:12];\nassign o0 = i[11:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:12]", + "size": 12 + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 + }, + "size": { + "width": 256, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "9f9b58d8-72f1-4832-bfe7-88eb135fa088", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "227b5ea0-4b5f-4e9b-9043-31286df08ef2", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "port": "in" + }, + "size": 12 + } + ] + } + } + }, + "4d91143eb0ac88b88669eca9a15ede8720575f51": { + "package": { + "name": "generator-tone-triangle CLONE", + "version": "0.1-c1717073074472", + "description": "tone-triangle generator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ETriang.%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M22.832%2066.689L65.785%201.413l38.981%2065.276%2042.952-65.276%2042.387%2065.276%22%20id=%22graph%22%20fill=%22none%22%20fill-opacity=%22.75%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%222.826%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E", + "otid": 1588504190451 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "type": "basic.output", + "data": { + "name": "sample", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 1424, + "y": 464 + } + }, + { + "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "type": "basic.input", + "data": { + "name": "phase", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 328, + "y": 608 + } + }, + { + "id": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "type": "770c28026476212f3ed069d6ca576d596bfda158", + "position": { + "x": 504, + "y": 608 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "type": "ca1820001823848c6dc78e51cc1fbb0428844784", + "position": { + "x": 672, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5d537c32-ed34-4830-8226-c5c7453e56be", + "type": "12a890788daaa9c56b17d1359a50f5b901ed904e", + "position": { + "x": 848, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "type": "d4c82efb5b8421e49ac9b858ba26d2ae330d410a", + "position": { + "x": 1240, + "y": 464 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fd58c545-9948-4421-87c1-8ee1066a801c", + "type": "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542", + "position": { + "x": 1096, + "y": 552 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "type": "fce857ceb8e70b34eae4384880aa348131314c28", + "position": { + "x": 1048, + "y": 432 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "port": "b64bd4de-2f3d-447f-b060-266885b19807" + }, + "target": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "dbd6fff4-5a1d-4732-b053-638352f8b401" + }, + "vertices": [], + "size": 23 + }, + { + "source": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" + }, + "target": { + "block": "5d537c32-ed34-4830-8226-c5c7453e56be", + "port": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b" + }, + "vertices": [], + "size": 11 + }, + { + "source": { + "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "port": "04a9f714-c11f-4e73-ab7b-7bbe001c0bca" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce" + }, + "vertices": [] + }, + { + "source": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "6ec453ac-86e7-416f-bd41-ae5e17ad190d" + }, + "target": { + "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "port": "7dd3ca07-cf28-42b5-99cf-f85078c1c448" + }, + "vertices": [], + "size": 11 + }, + { + "source": { + "block": "fd58c545-9948-4421-87c1-8ee1066a801c", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "port": "838102af-d39e-4d6b-be4d-558ffe297b05" + }, + "vertices": [] + }, + { + "source": { + "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", + "port": "out" + }, + "target": { + "block": "9eceeb09-ff6d-4552-aecc-4639b75a2a8e", + "port": "9f9b58d8-72f1-4832-bfe7-88eb135fa088" + }, + "size": 24 + }, + { + "source": { + "block": "193c8b0f-97ac-4c28-a9a7-74e7fcc5b26a", + "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + }, + "target": { + "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "5d537c32-ed34-4830-8226-c5c7453e56be", + "port": "0c3c02a5-0dad-4813-94cf-49997cf44c82" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "73af1101-73af-449e-8c8b-0f32cd177bbb" + }, + "size": 11 + }, + { + "source": { + "block": "12139380-1ab9-4a6c-811f-7cf074d767b5", + "port": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df" + }, + "target": { + "block": "939635ba-79e6-4e25-a800-8be98a3b3ccb", + "port": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86" + }, + "vertices": [ + { + "x": 832, + "y": 544 + } + ], + "size": 11 + } + ] + } + } + }, + "ca1820001823848c6dc78e51cc1fbb0428844784": { + "package": { + "name": "split-11-12", + "version": "0.1", + "description": "Split a 23-bit bus into one of 11-bits and another of 12-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", + "type": "basic.output", + "data": { + "name": "o1", + "range": "[10:0]", + "pins": [ + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 576, + "y": 176 + } + }, + { + "id": "dbd6fff4-5a1d-4732-b053-638352f8b401", + "type": "basic.input", + "data": { + "name": "i", + "range": "[22:0]", + "pins": [ + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 56, + "y": 200 + } + }, + { + "id": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[11:0]", + "size": 12, + "virtual": false + }, + "position": { + "x": 576, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[22:12];\nassign o0 = i[11:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[22:0]", + "size": 23 + } + ], + "out": [ + { + "name": "o1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "o0", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 224, + "y": 176 + }, + "size": { + "width": 256, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "a41d7201-0ada-4f52-a889-4eb1ba82b8ad", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "5fb4cfed-d6a0-4b78-82ed-de262b0e85df", + "port": "in" + }, + "size": 11 + }, + { + "source": { + "block": "dbd6fff4-5a1d-4732-b053-638352f8b401", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 23 + } + ] + } + } + }, + "12a890788daaa9c56b17d1359a50f5b901ed904e": { + "package": { + "name": "NOT-11bits", + "version": "1.0.3-", + "description": "11-bits bus not gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "type": "basic.output", + "data": { + "name": "", + "range": "[10:0]", + "pins": [ + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 736, + "y": 144 + } + }, + { + "id": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", + "type": "basic.input", + "data": { + "name": "", + "range": "[10:0]", + "pins": [ + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 80, + "y": 144 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- Puerta NOT\n\n//-- module (input wire a, output wire c);\n\n\nassign c = ~a;\n\n\n//-- endmodule\n", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[10:0]", + "size": 11 + } + ], + "out": [ + { + "name": "c", + "range": "[10:0]", + "size": 11 + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 400, + "height": 256 + } + } + ], + "wires": [ + { + "source": { + "block": "fcc4fd8e-f5b9-401e-ba40-df4c11dae42b", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + }, + "size": 11 + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "c" + }, + "target": { + "block": "0c3c02a5-0dad-4813-94cf-49997cf44c82", + "port": "in" + }, + "size": 11 + } + ] + } + } + }, + "d4c82efb5b8421e49ac9b858ba26d2ae330d410a": { + "package": { + "name": "Join-11-1", + "version": "0.0.1", + "description": "Join an 11-bits bus and a 1-bit bus into a 12-bits bus", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 160, + "y": 240 + } + }, + { + "id": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "type": "basic.output", + "data": { + "name": "o", + "range": "[11:0]", + "size": 12 + }, + "position": { + "x": 704, + "y": 288 + } + }, + { + "id": "838102af-d39e-4d6b-be4d-558ffe297b05", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": 152, + "y": 336 + } + }, + { + "id": "3545528c-05e2-4e95-8223-5b7b77587423", + "type": "basic.code", + "data": { + "code": "assign o = {i1,i0};\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[11:0]", + "size": 12 + } + ] + } + }, + "position": { + "x": 328, + "y": 224 + }, + "size": { + "width": 288, + "height": 192 + } + } + ], + "wires": [ + { + "source": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "o" + }, + "target": { + "block": "01aaff81-deba-4de7-b612-2fd07d6ba191", + "port": "in" + }, + "size": 12 + }, + { + "source": { + "block": "7dd3ca07-cf28-42b5-99cf-f85078c1c448", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i1" + }, + "size": 11 + }, + { + "source": { + "block": "838102af-d39e-4d6b-be4d-558ffe297b05", + "port": "out" + }, + "target": { + "block": "3545528c-05e2-4e95-8223-5b7b77587423", + "port": "i0" + } + } + ] + } + } + }, + "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { + "package": { + "name": "0", + "version": "0.1", + "description": "Un bit constante a 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 512, + "y": 160 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 256, + "height": 160 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "fce857ceb8e70b34eae4384880aa348131314c28": { + "package": { + "name": "11-bits-Mux-2-1-verilog", + "version": "0.2", + "description": "2-to-1 Multplexer (11-bit channels). Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "73af1101-73af-449e-8c8b-0f32cd177bbb", + "type": "basic.input", + "data": { + "name": "1", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 320, + "y": -64 + } + }, + { + "id": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "type": "basic.output", + "data": { + "name": "", + "range": "[10:0]", + "size": 11 + }, + "position": { + "x": 984, + "y": 24 + } + }, + { + "id": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "type": "basic.input", + "data": { + "name": "0", + "range": "[10:0]", + "clock": false, + "size": 11 + }, + "position": { + "x": 320, + "y": 24 + } + }, + { + "id": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 320, + "y": 112 + } + }, + { + "id": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "i1", + "range": "[10:0]", + "size": 11 + }, + { + "name": "i0", + "range": "[10:0]", + "size": 11 + }, + { + "name": "sel" + } + ], + "out": [ + { + "name": "o", + "range": "[10:0]", + "size": 11 + } + ] + }, + "params": [], + "code": "//-- 2-to-1 Multiplexer \n\nassign o = sel ? i1 : i0;" + }, + "position": { + "x": 560, + "y": -80 + }, + "size": { + "width": 304, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "1e7e3cd6-ed00-468a-9f7c-0bb91e020dce", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "sel" + }, + "vertices": [] + }, + { + "source": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "o" + }, + "target": { + "block": "6ec453ac-86e7-416f-bd41-ae5e17ad190d", + "port": "in" + }, + "size": 11 + }, + { + "source": { + "block": "73af1101-73af-449e-8c8b-0f32cd177bbb", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i1" + }, + "size": 11 + }, + { + "source": { + "block": "3b8e0f22-cc29-4695-b72b-c754f3e7fd86", + "port": "out" + }, + "target": { + "block": "b64f5610-774d-45c0-bbc6-85b1a6713f43", + "port": "i0" + }, + "size": 11 + } + ] + } + } + }, + "a7499d579ce034fce2c6c201134ee0acbd4232cd": { + "package": { + "name": "24-bits-accumulator", + "version": "0.2", + "description": "24-bits accumulator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 48, + "y": 40 + } + }, + { + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 192, + "y": 40 + } + }, + { + "id": "bf631fb2-9575-442d-ae82-71dd0be21888", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 680, + "y": 152 + } + }, + { + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 312, + "y": 176 + } + }, + { + "id": "ca7c2d52-5293-4143-9758-468e17d643c2", + "type": "basic.outputLabel", + "data": { + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 + }, + "position": { + "x": 280, + "y": 256 + } + }, + { + "id": "77d4f680-5d59-458b-8b56-69633057a69c", + "type": "basic.inputLabel", + "data": { + "name": "next", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 + }, + "position": { + "x": 824, + "y": 272 + } + }, + { + "id": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "type": "basic.input", + "data": { + "name": "inc", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 48, + "y": 336 + } + }, + { + "id": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "type": "basic.input", + "data": { + "name": "add", + "clock": false + }, + "position": { + "x": 48, + "y": 416 + } + }, + { + "id": "a65b6302-05d5-4df6-b308-44ec4b939901", + "type": "380890f86e3a886844470fb5c8431265046f197c", + "position": { + "x": 648, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "type": "750d007793329bb1f20002d08e38074d9bdd4f76", + "position": { + "x": 448, + "y": 240 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" + }, + "target": { + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" + }, + "target": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "c39743c3-1b76-483c-8613-15f2782d0809" + } + }, + { + "source": { + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "size": 24 + }, + "target": { + "block": "77d4f680-5d59-458b-8b56-69633057a69c", + "port": "inlabel" + }, + "size": 24 + }, + { + "source": { + "block": "ca7c2d52-5293-4143-9758-468e17d643c2", + "port": "outlabel" + }, + "target": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "size": 24 + }, + "size": 24 + }, + { + "source": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" + }, + "target": { + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + }, + "size": 24 + }, + { + "source": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "8e68dac0-4473-40be-a907-0eb952ae4420" + }, + "target": { + "block": "bf631fb2-9575-442d-ae82-71dd0be21888", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "71f1fcf0-b59c-48e5-a55e-1b71a9649f90", + "port": "out" + }, + "target": { + "block": "503f5af3-41a6-44fd-a199-6958a2cc3bea", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "4329f2d6-e1ce-4034-becb-e7fda9e134a8", + "port": "out" + }, + "target": { + "block": "a65b6302-05d5-4df6-b308-44ec4b939901", + "port": "a8a28134-418c-49be-9550-f546432bebc9" + }, + "size": 16 + } + ] + } + } + }, + "380890f86e3a886844470fb5c8431265046f197c": { + "package": { + "name": "sum-24-16->24bits", + "version": "0.1", + "description": "Adder for two operands of 24 and 16 bits. Result in 24 bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 336, + "y": 136 + } + }, + { + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 680, + "y": 192 + } + }, + { + "id": "a8a28134-418c-49be-9550-f546432bebc9", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 48, + "y": 272 + } + }, + { + "id": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "type": "0b81b8e1e01d92b35ab459001f261115129544e8", + "position": { + "x": 320, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "type": "3bf99b8d77aa1b29ec1bf618b53175de87f1001d", + "position": { + "x": 144, + "y": 208 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "899734d5-1063-4268-9135-20b5aff4c0fb", + "type": "25e6ad7722c5f4707b002d30cf0f2111ba416525", + "position": { + "x": 504, + "y": 192 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "a8a28134-418c-49be-9550-f546432bebc9", + "port": "out" + }, + "target": { + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f" + }, + "size": 16 + }, + { + "source": { + "block": "edb1e579-863f-4865-8a9d-c6d38ce1b0f0", + "port": "ad29e130-c17a-4544-9237-4c72551ccbeb" + }, + "target": { + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "59c2a16b-3910-4170-afa3-7c59279bdb2b" + }, + "size": 8 + }, + { + "source": { + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "2d673f04-050d-41f1-a552-a0f3bb8da278" + }, + "target": { + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "port": "out" + }, + "target": { + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "4365840e-9ebf-4cee-bef6-622d85857f31" + }, + "size": 24 + }, + { + "source": { + "block": "382a9fb0-59ee-42b4-aafd-da02afde08c4", + "port": "d624472a-880a-4d3c-8d8d-7e439e2868f3" + }, + "target": { + "block": "899734d5-1063-4268-9135-20b5aff4c0fb", + "port": "bd8c5395-73bf-4faf-b784-ba7713d28a28" + }, + "size": 24 + } + ] + } + } + }, + "0b81b8e1e01d92b35ab459001f261115129544e8": { + "package": { + "name": "Agregador-8-16-bus", + "version": "0.1", + "description": "Agregador de 2 buses de 8-bits y 16 a uno de 24-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "type": "basic.input", + "data": { + "name": "i1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 112, + "y": 144 + } + }, + { + "id": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "type": "basic.output", + "data": { + "name": "o", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 608, + "y": 200 + } + }, + { + "id": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", + "type": "basic.input", + "data": { + "name": "i0", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 128, + "y": 288 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 224, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "59c2a16b-3910-4170-afa3-7c59279bdb2b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + }, + { + "source": { + "block": "7f6efb27-b8e8-4da0-a945-bc13ede9c98f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "d624472a-880a-4d3c-8d8d-7e439e2868f3", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "3bf99b8d77aa1b29ec1bf618b53175de87f1001d": { + "package": { + "name": "Valor_0_8bits", + "version": "0.0.1", + "description": "Valor constante 0 para bus de 8 bits", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22346.308%22%20height=%22300.445%22%20viewBox=%220%200%20324.66403%20281.66758%22%3E%3Cg%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22371.115%22%20y=%22653.344%22%20font-size=%22335.399%22%20fill=%22green%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22371.115%22%20y=%22653.344%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22322.722%22%20y=%22721.624%22%20font-size=%2283.077%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22322.722%22%20y=%22721.624%22%3E00000000%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22548.722%22%20y=%22651.624%22%20font-size=%2283.077%22%20fill=%22#00f%22%20transform=%22translate(-326.544%20-441.037)%22%3E%3Ctspan%20x=%22548.722%22%20y=%22651.624%22%3E00%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "type": "basic.output", + "data": { + "name": "k", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 928, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "type": "5ad97e1e35a295d0ec722addd6df97c806fc6b7c", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "d8755f82-ee3e-47e9-9ac3-e4d6713a6105", + "port": "a9d6830d-5cc7-4f63-a068-35181d2537bc" + }, + "target": { + "block": "ad29e130-c17a-4544-9237-4c72551ccbeb", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "25e6ad7722c5f4707b002d30cf0f2111ba416525": { + "package": { + "name": "sum-2op-24bits", + "version": "0.1", + "description": "Sumador de dos operandos de 24bits", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4365840e-9ebf-4cee-bef6-622d85857f31", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 200, + "y": 136 + } + }, + { + "id": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 680, + "y": 192 + } + }, + { + "id": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 208, + "y": 232 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[23:0]", + "size": 24 + }, + { + "name": "b", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "s", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4365840e-9ebf-4cee-bef6-622d85857f31", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 24 + }, + { + "source": { + "block": "bd8c5395-73bf-4faf-b784-ba7713d28a28", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 24 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "2d673f04-050d-41f1-a552-a0f3bb8da278", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "750d007793329bb1f20002d08e38074d9bdd4f76": { + "package": { + "name": "24-Reg", + "version": "0.8", + "description": "24-Reg: 24 bits Register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "c39743c3-1b76-483c-8613-15f2782d0809", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 568, + "y": 144 + } + }, + { + "id": "8e68dac0-4473-40be-a907-0eb952ae4420", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 1136, + "y": 240 + } + }, + { + "id": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 568, + "y": 240 + } + }, + { + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 568, + "y": 328 + } + }, + { + "id": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 848, + "y": 24 + } + }, + { + "id": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[23:0]", + "size": 24 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[23:0]", + "size": 24 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register\n//-- Number of bits\nlocalparam N = 24;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;" + }, + "position": { + "x": 728, + "y": 128 + }, + "size": { + "width": 328, + "height": 280 + } + } + ], + "wires": [ + { + "source": { + "block": "1ee1f0e1-9e00-4224-82dd-d976319e771f", + "port": "constant-out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "c39743c3-1b76-483c-8613-15f2782d0809", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "clk" + }, + "vertices": [] + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "load" + } + }, + { + "source": { + "block": "1b621e37-f1b1-46a7-8450-5500bb513afb", + "port": "out" + }, + "target": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "d" + }, + "size": 24 + }, + { + "source": { + "block": "80679117-f4e2-46f1-95c8-6b897a7d0936", + "port": "q" + }, + "target": { + "block": "8e68dac0-4473-40be-a907-0eb952ae4420", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "e84ce437aab2f31a7365ea0897af8b2da0f55aaa": { + "package": { + "name": "Generic-comp", + "version": "0.3", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%2052.259014%2042.62059%22%20height=%22161.086%22%20width=%22197.514%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22%20stroke-width=%22.794%22/%3E%3Ctext%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%2215.216%22%20font-weight=%22400%22%20style=%22line-height:0%25%22%20x=%2259.591%22%20y=%2262.027%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20font-size=%228.695%22%20font-weight=%22700%22%20x=%2259.591%22%20y=%2262.027%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%3E1MHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1717074487462 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb293ecb-e039-46f8-925f-3846c61121cb", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 176, + "y": 464 + } + }, + { + "id": "341ecb90-c222-498d-b060-03a84934ae42", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 320, + "y": 464 + } + }, + { + "id": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 904, + "y": 752 + } + }, + { + "id": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 520, + "y": 800 + } + }, + { + "id": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1224, + "y": 816 + } + }, + { + "id": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "type": "basic.constant", + "data": { + "name": "FULL_SCALE", + "value": "2**26", + "local": true + }, + "position": { + "x": 216, + "y": 736 + } + }, + { + "id": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "type": "basic.constant", + "data": { + "name": "sys", + "value": "12", + "local": false + }, + "position": { + "x": 368, + "y": 736 + } + }, + { + "id": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "type": "464187d83bc7c9b0a6f76a41876c46f5d1582bae", + "position": { + "x": 864, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9911354d-905b-4a15-9145-f91f62b8cb74", + "type": "88097a55204933bef05c6297042b1543bf8e94a9", + "position": { + "x": 320, + "y": 864 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7a1019da-b362-4368-8a7b-ebe37beaad69", + "type": "basic.info", + "data": { + "info": "**System clock in MHZ** \nThis parameters should containt \nyou board's clock frequency (in Mhz)", + "readonly": true + }, + "position": { + "x": 320, + "y": 640 + }, + "size": { + "width": 376, + "height": 72 + } + }, + { + "id": "7027d28e-a7d4-4956-806f-6c5ffb04e545", + "type": "basic.info", + "data": { + "info": "## 1MHZ Heart", + "readonly": true + }, + "position": { + "x": 568, + "y": 424 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "0349028a-b6ab-4724-87cb-fa615235b14d", + "type": "basic.info", + "data": { + "info": "Constant value: \nk = Full_scale / Sys_clock", + "readonly": true + }, + "position": { + "x": 424, + "y": 912 + }, + "size": { + "width": 224, + "height": 64 + } + }, + { + "id": "342ebac8-1c7d-40a2-86c3-80fee0f97ad0", + "type": "basic.info", + "data": { + "info": "Accumulator: The value is incremented by \nthe k constant on every system clock", + "readonly": true + }, + "position": { + "x": 584, + "y": 744 + }, + "size": { + "width": 336, + "height": 56 + } + }, + { + "id": "18c59370-73e4-4e97-bf75-08f720ef4b7a", + "type": "basic.info", + "data": { + "info": "The output is a tic", + "readonly": true + }, + "position": { + "x": 1080, + "y": 768 + }, + "size": { + "width": 184, + "height": 48 + } + }, + { + "id": "776614c6-7e90-4828-ac11-cf91158e0156", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 1056, + "y": 816 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "type": "796c3e94f671052cf01acc3e9dc67782339a169e", + "position": { + "x": 648, + "y": 848 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "cb293ecb-e039-46f8-925f-3846c61121cb", + "port": "out" + }, + "target": { + "block": "341ecb90-c222-498d-b060-03a84934ae42", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "bbb878f2-0ef2-4c63-867f-b3a72a115d19", + "port": "outlabel" + }, + "target": { + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + }, + "vertices": [] + }, + { + "source": { + "block": "b7c0d360-5fc6-426a-8093-0dc4b63a76e4", + "port": "outlabel" + }, + "target": { + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "cab3b8f8-a874-480c-93ef-7b12b9be2269" + }, + "vertices": [] + }, + { + "source": { + "block": "e391d3ed-09dd-4189-94e2-20aa611fc0e5", + "port": "constant-out" + }, + "target": { + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "56066111-a701-4e2c-b64c-aeed7831cf00" + }, + "vertices": [] + }, + { + "source": { + "block": "e9d5d2b8-caaf-40d8-933b-b0e8939960fd", + "port": "constant-out" + }, + "target": { + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339" + }, + "vertices": [] + }, + { + "source": { + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "fb8ce452-0454-433b-b40f-e8398e0be05d", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "127b10ed-7f6a-4388-8fc2-fc8af12f971d" + }, + "target": { + "block": "776614c6-7e90-4828-ac11-cf91158e0156", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + }, + "vertices": [] + }, + { + "source": { + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc" + }, + "target": { + "block": "35349e5f-e22f-4024-aaf1-2c907844d0f9", + "port": "8590b128-dfda-40aa-ab6b-c206607cbf2e" + }, + "vertices": [], + "size": 26 + }, + { + "source": { + "block": "9911354d-905b-4a15-9145-f91f62b8cb74", + "port": "b528263e-f7ac-434f-b590-7de7a093d85c" + }, + "target": { + "block": "7ca1af25-e84d-4dd7-ae36-37d0332b3b70", + "port": "6c9147c0-b578-40b4-929e-74ff75e9ea0e" + }, + "vertices": [], + "size": 26 + } + ] + } + } + }, + "464187d83bc7c9b0a6f76a41876c46f5d1582bae": { + "package": { + "name": "split-1-25-bus", + "version": "0.1", + "description": "Split the 26bits into 1 bits an a 25-bits bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 584, + "y": 144 + } + }, + { + "id": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "type": "basic.input", + "data": { + "name": "i", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 136, + "y": 200 + } + }, + { + "id": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "type": "basic.output", + "data": { + "name": "o0", + "range": "[24:0]", + "size": 25 + }, + "position": { + "x": 592, + "y": 248 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[25];\nassign o0 = i[24:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[25:0]", + "size": 26 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[24:0]", + "size": 25 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "127b10ed-7f6a-4388-8fc2-fc8af12f971d", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb0b1a29-5cd0-428f-8825-ade881f5fafd", + "port": "in" + }, + "size": 25 + }, + { + "source": { + "block": "8590b128-dfda-40aa-ab6b-c206607cbf2e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 26 + } + ] + } + } + }, + "88097a55204933bef05c6297042b1543bf8e94a9": { + "package": { + "name": "contant-division", + "version": "0.1", + "description": "Calculate a new constant value dividing k1 / k2", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20113.9312%20256.34606%22%20height=%22273.435%22%20width=%22121.527%22%3E%3Ctext%20word-spacing=%220%22%20letter-spacing=%220%22%20font-size=%22311.941%22%20font-weight=%22400%22%20y=%22227.406%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%224.441%22%3E%3Ctspan%20font-weight=%22700%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22227.406%22%20x=%220%22%3E/%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588415989667 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b528263e-f7ac-434f-b590-7de7a093d85c", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "pins": [ + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 856, + "y": 104 + } + }, + { + "id": "56066111-a701-4e2c-b64c-aeed7831cf00", + "type": "basic.constant", + "data": { + "name": "k1", + "value": "12", + "local": false + }, + "position": { + "x": 424, + "y": -24 + } + }, + { + "id": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "type": "basic.constant", + "data": { + "name": "k2", + "value": "12", + "local": false + }, + "position": { + "x": 624, + "y": -32 + } + }, + { + "id": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "type": "basic.code", + "data": { + "code": "localparam BITS = 26;\nlocalparam [BITS-1:0] K = $rtoi(K1 / K2);\n\n\nassign k = K;", + "params": [ + { + "name": "K1" + }, + { + "name": "K2" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[25:0]", + "size": 26 + } + ] + } + }, + "position": { + "x": 368, + "y": 80 + }, + "size": { + "width": 408, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "k" + }, + "target": { + "block": "b528263e-f7ac-434f-b590-7de7a093d85c", + "port": "in" + }, + "size": 26 + }, + { + "source": { + "block": "56066111-a701-4e2c-b64c-aeed7831cf00", + "port": "constant-out" + }, + "target": { + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K1" + } + }, + { + "source": { + "block": "5a257ee5-01cb-40f5-92d6-4cda9d0ae339", + "port": "constant-out" + }, + "target": { + "block": "09139310-5a7e-4997-86ec-c4ffc08a5034", + "port": "K2" + } + } + ] + } + } + }, + "796c3e94f671052cf01acc3e9dc67782339a169e": { + "package": { + "name": "26-bits-accumulator", + "version": "0.2", + "description": "26-bits accumulator", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22203.678%22%20height=%22255.572%22%20viewBox=%220%200%20190.94774%20239.59966%22%3E%3Cpath%20d=%22M1.09%200l.198%207.493L93.204%20122.07.268%20232.637%200%20239.6l180.21-.934c1.76-22.302%206.595-45.364%2010.738-67.354l-5.857-1.464c-5.458%2012.313-9.338%2025.48-20.987%2033.656-12.77%208.963-29.623%207.83-44.414%207.83H36.718l84.577-102.162-76.258-95.33%2044.393-.176c28.459%200%2068.943-6.873%2080.204%2027.332%201.925%205.847%203.259%2011.919%203.744%2018.058h6.345L174.842%200z%22%20font-size=%2212%22%20fill=%22#00f%22%20stroke-width=%22.488%22/%3E%3C/svg%3E", + "otid": 1588416830381 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 48, + "y": 40 + } + }, + { + "id": "b62a597a-a161-48ad-b951-d5bf850429bf", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 192, + "y": 40 + } + }, + { + "id": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 1000, + "y": 136 + } + }, + { + "id": "39c91753-cc29-4676-9a34-24470df724b7", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 264, + "y": 192 + } + }, + { + "id": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 256, + "y": 256 + } + }, + { + "id": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "next", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 824, + "y": 272 + } + }, + { + "id": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "type": "basic.input", + "data": { + "name": "inc", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 16, + "y": 376 + } + }, + { + "id": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "type": "f672f0d072c77468e36ae8dd0cbcb23e1e99e658", + "position": { + "x": 648, + "y": 272 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1df42869-92b9-4d52-9f23-8c11c353e787", + "type": "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6", + "position": { + "x": 432, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "cab3b8f8-a874-480c-93ef-7b12b9be2269", + "port": "out" + }, + "target": { + "block": "b62a597a-a161-48ad-b951-d5bf850429bf", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "c8a02ea1-d47d-4e0d-91ec-cb34146de1ab", + "port": "outlabel" + }, + "target": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "554e0534-d5da-4c8a-a78e-182a3698839e", + "size": 26 + }, + "size": 26 + }, + { + "source": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "size": 26 + }, + "target": { + "block": "24ec0c90-fc75-413c-83d3-eea0d0031866", + "port": "inlabel" + }, + "size": 26 + }, + { + "source": { + "block": "39c91753-cc29-4676-9a34-24470df724b7", + "port": "outlabel" + }, + "target": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "ddb7faf8-5783-464a-806d-e7cb3e38683b" + } + }, + { + "source": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" + }, + "target": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "0c88add0-cbf5-4d14-967b-417400538a29" + }, + "vertices": [], + "size": 26 + }, + { + "source": { + "block": "6c9147c0-b578-40b4-929e-74ff75e9ea0e", + "port": "out" + }, + "target": { + "block": "0b4a9a5e-c1b6-4658-aa34-b205be14e416", + "port": "8be11225-bb7a-4e09-af44-5b4712ae5e73" + }, + "size": 26 + }, + { + "source": { + "block": "1df42869-92b9-4d52-9f23-8c11c353e787", + "port": "adfb2cfe-8521-4f06-ac72-3d200ba84185" + }, + "target": { + "block": "52f793e2-7dbd-4fd9-a33e-59f343a65cfc", + "port": "in" + }, + "size": 26 + } + ] + } + } + }, + "f672f0d072c77468e36ae8dd0cbcb23e1e99e658": { + "package": { + "name": "sum-2op-26bits", + "version": "0.1", + "description": "24-bits adder with two operands", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0c88add0-cbf5-4d14-967b-417400538a29", + "type": "basic.input", + "data": { + "name": "", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 192, + "y": 144 + } + }, + { + "id": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 672, + "y": 192 + } + }, + { + "id": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "type": "basic.input", + "data": { + "name": "", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 192, + "y": 256 + } + }, + { + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "type": "basic.code", + "data": { + "code": "assign s = a + b;", + "params": [], + "ports": { + "in": [ + { + "name": "a", + "range": "[25:0]", + "size": 26 + }, + { + "name": "b", + "range": "[25:0]", + "size": 26 + } + ], + "out": [ + { + "name": "s", + "range": "[25:0]", + "size": 26 + } + ] + } + }, + "position": { + "x": 384, + "y": 192 + }, + "size": { + "width": 216, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "0c88add0-cbf5-4d14-967b-417400538a29", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" + }, + "size": 26 + }, + { + "source": { + "block": "8be11225-bb7a-4e09-af44-5b4712ae5e73", + "port": "out" + }, + "target": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 26 + }, + { + "source": { + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" + }, + "target": { + "block": "5fcf2399-c8e6-4f8e-90ee-b303f0b11e44", + "port": "in" + }, + "size": 26 + } + ] + } + } + }, + "a247b5ed036e8bce8ff73e9a67f316d9180ab5c6": { + "package": { + "name": "26-Sys-reg", + "version": "0.8", + "description": "26-Sys-reg: 26 bits system register. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e1a94202-b953-492e-98ef-7f33548e273b", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 968, + "y": 48 + } + }, + { + "id": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 384, + "y": 88 + } + }, + { + "id": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "type": "basic.output", + "data": { + "name": "", + "range": "[25:0]", + "size": 26 + }, + "position": { + "x": 968, + "y": 144 + } + }, + { + "id": "554e0534-d5da-4c8a-a78e-182a3698839e", + "type": "basic.input", + "data": { + "name": "", + "range": "[25:0]", + "clock": false, + "size": 26 + }, + "position": { + "x": 376, + "y": 200 + } + }, + { + "id": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 664, + "y": -48 + } + }, + { + "id": "a488e8ac-cd04-4656-9880-218437a4aba0", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[25:0]", + "size": 26 + } + ], + "out": [ + { + "name": "q", + "range": "[25:0]", + "size": 26 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- Number of bits\nlocalparam N = 26;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;\n\n" + }, + "position": { + "x": 536, + "y": 64 + }, + "size": { + "width": 352, + "height": 224 + } + } + ], + "wires": [ + { + "source": { + "block": "9dad6350-1887-40ab-80e7-81fc57c7e5e7", + "port": "constant-out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "INI" + } + }, + { + "source": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "q" + }, + "target": { + "block": "adfb2cfe-8521-4f06-ac72-3d200ba84185", + "port": "in" + }, + "size": 26 + }, + { + "source": { + "block": "ddb7faf8-5783-464a-806d-e7cb3e38683b", + "port": "out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "clk" + } + }, + { + "source": { + "block": "554e0534-d5da-4c8a-a78e-182a3698839e", + "port": "out" + }, + "target": { + "block": "a488e8ac-cd04-4656-9880-218437a4aba0", + "port": "d" + }, + "size": 26 + } + ] + } + } + }, + "4ecc3b7d192b67795201d550bdeadcf08f4823f6": { + "package": { + "name": "Simplified-Heart-tic-ms-32bits", + "version": "0.3", + "description": "Simplified-Heart-tic-ms-sys-32bits: Nominal periodic signal in mili seconds", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22325.104%22%20height=%22265.645%22%20viewBox=%220%200%2086.017089%2070.285209%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M31.726%2058.436c-1.06-1.822-2.702-3.607-5.814-6.317-1.686-1.467-2.711-2.282-8.55-6.793-4.577-3.536-6.86-5.498-9.506-8.168-2.644-2.67-4.199-4.797-5.532-7.57-.852-1.77-1.437-3.476-1.801-5.249C.06%2022.087-.002%2021.325%200%2018.01c.003-4.352.147-5.076%201.575-7.979%201.062-2.155%201.869-3.29%203.548-4.996%201.631-1.655%202.69-2.407%204.98-3.54C12.645.237%2014.485-.093%2018.275.03c2.945.095%204.023.388%206.358%201.732%203.675%202.114%206.527%205.509%207.316%208.709.129.523.262.951.296.951.034%200%20.331-.612.66-1.36%201.123-2.543%202.166-4.095%203.822-5.69%205.07-4.89%2013.064-5.774%2019.528-2.162%202.64%201.475%204.787%203.623%206.451%206.452%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.307-1.136%201.725-1.977%202.77-3.554%204.416-2.545%202.658-4.84%204.612-10.257%208.732-3.418%202.6-5.444%204.271-8.377%206.914-2.35%202.117-5.99%205.802-6.341%206.419-.154.269-.292.489-.308.489-.017%200-.225-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(1.20898%200%200%201.20898%20-53.578%20-36.926)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -72, + "y": -320 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 56, + "y": -320 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 992, + "y": -320 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": -320 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 488, + "y": -152 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 328, + "y": -72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": -72 + } + }, + { + "id": "f8df038b-f5e0-46f5-9450-173b01f03457", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 800, + "y": -64 + } + }, + { + "id": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12_000_000", + "local": false + }, + "position": { + "x": 96, + "y": -184 + } + }, + { + "id": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 232, + "y": -184 + } + }, + { + "id": "437a6ea2-1cd4-48ed-b799-726d35996ed3", + "type": "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7", + "position": { + "x": 176, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "53f0528f-1f41-477a-b841-75d3f5ff6975", + "type": "b479688ca0038a5ff3e5c5cd21751e289d7df182", + "position": { + "x": 656, + "y": -112 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "53f0528f-1f41-477a-b841-75d3f5ff6975", + "port": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "53f0528f-1f41-477a-b841-75d3f5ff6975", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "53f0528f-1f41-477a-b841-75d3f5ff6975", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f8df038b-f5e0-46f5-9450-173b01f03457", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "437a6ea2-1cd4-48ed-b799-726d35996ed3", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "port": "constant-out" + }, + "target": { + "block": "437a6ea2-1cd4-48ed-b799-726d35996ed3", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + }, + { + "source": { + "block": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "port": "constant-out" + }, + "target": { + "block": "437a6ea2-1cd4-48ed-b799-726d35996ed3", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + } + ] + } + } + }, + "7f4a3cc5129b3f30007cb478a22ed5d2ef4f25f7": { + "package": { + "name": "Unit-ms-32bits", + "version": "0.1", + "description": "Unit-ms-32bits: ms to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22203.562%22%20height=%2277.573%22%20viewBox=%220%200%2053.859135%2020.52442%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20font-size=%2235.743%22%20text-anchor=%22middle%22%20stroke-width=%22.473%22%20fill=%22green%22%3E%3Cpath%20d=%22M18.15%203.717Q19.339%201.902%2020.96.96%2022.602%200%2024.557%200q3.368%200%205.131%202.077%201.763%202.077%201.763%206.039v11.902h-6.283V9.826q.017-.227.017-.471.018-.245.018-.698%200-2.077-.611-3.002-.61-.943-1.972-.943-1.78%200-2.758%201.466-.96%201.466-.995%204.241v9.6h-6.283V9.825q0-3.246-.558-4.171-.559-.943-1.99-.943-1.797%200-2.775%201.484-.977%201.466-.977%204.206v9.616H0V.471h6.283v2.862Q7.435%201.675%208.918.838%2010.42%200%2012.217%200q2.024%200%203.578.977%201.553.978%202.356%202.74zM52.55%201.082V5.83q-2.007-.838-3.874-1.256-1.868-.42-3.526-.42-1.78%200-2.653.455-.855.436-.855%201.36%200%20.751.646%201.153.663.401%202.356.593l1.1.157q4.8.611%206.457%202.007%201.658%201.396%201.658%204.38%200%203.125-2.304%204.696-2.303%201.57-6.876%201.57-1.937%200-4.014-.314-2.06-.296-4.241-.907v-4.747q1.867.907%203.822%201.36%201.972.455%203.997.455%201.832%200%202.757-.506.925-.507.925-1.501%200-.838-.646-1.24-.628-.418-2.53-.645l-1.1-.14q-4.17-.524-5.846-1.937-1.676-1.414-1.676-4.294%200-3.106%202.13-4.607Q40.385%200%2044.783%200q1.728%200%203.63.262t4.136.82z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 952, + "y": 496 + } + }, + { + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12000000", + "local": false + }, + "position": { + "x": 520, + "y": 344 + } + }, + { + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", + "data": { + "name": "ms", + "value": "1", + "local": false + }, + "position": { + "x": 720, + "y": 344 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Input parameter: Milieconds ", + "readonly": true + }, + "position": { + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 + } + }, + { + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", + "data": { + "info": "Input parameter: \nSystem Frequency", + "readonly": true + }, + "position": { + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 + } + }, + { + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "MS" + } + ], + "code": "localparam Cycles = $ceil(1.0e-3 * F * MS);\n\nassign n = Cycles;\n\n" + }, + "position": { + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] + }, + { + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" + }, + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "MS" + } + } + ] + } + } + }, + "b479688ca0038a5ff3e5c5cd21751e289d7df182": { + "package": { + "name": "Heart-sys-zero-32bits", + "version": "0.3", + "description": "Heart-sys-zero-32bits: Generate a periodic signal of period T cycles. It starts at cycle 0. It never stops", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22267.83%22%20height=%22235.568%22%20viewBox=%220%200%2070.863319%2062.327419%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-49.44%20-45.386)%22%3E%3Cpath%20d=%22M82.767%20103.823c-1.059-1.823-2.701-3.608-5.813-6.317-1.686-1.468-2.712-2.283-8.55-6.794-4.577-3.536-6.86-5.497-9.506-8.167-2.645-2.67-4.2-4.797-5.533-7.57-.851-1.77-1.436-3.477-1.8-5.25-.463-2.252-.526-3.014-.523-6.33.003-4.352.146-5.075%201.575-7.978%201.062-2.156%201.868-3.291%203.548-4.997%201.63-1.655%202.69-2.407%204.979-3.539%202.544-1.258%204.384-1.588%208.174-1.466%202.945.095%204.023.388%206.358%201.732%203.675%202.115%206.526%205.51%207.316%208.709.129.523.262.952.295.952.034%200%20.332-.612.662-1.36%201.122-2.544%202.164-4.095%203.82-5.692%205.07-4.889%2013.065-5.772%2019.528-2.16%202.64%201.475%204.788%203.622%206.452%206.451%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.308-1.137%201.725-1.978%202.77-3.555%204.416-2.544%202.658-4.839%204.611-10.256%208.731-3.418%202.6-5.445%204.271-8.377%206.914-2.35%202.117-5.991%205.803-6.342%206.419-.153.27-.292.49-.308.49-.016%200-.224-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%2028.715%2037.275)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.89397%200%200%20.89397%20106.937%20-105.28)%22%20stroke-width=%221.119%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.59%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.732%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20width=%2235.828%22%20height=%2219.351%22%20x=%2264.354%22%20y=%2261.538%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 96, + "y": -168 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 224, + "y": -168 + } + }, + { + "id": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1216, + "y": -168 + } + }, + { + "id": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 1074, + "y": -168 + } + }, + { + "id": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1216, + "y": -40 + } + }, + { + "id": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1072, + "y": -40 + } + }, + { + "id": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 832, + "y": -40 + } + }, + { + "id": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "type": "basic.input", + "data": { + "name": "T", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 96, + "y": -8 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 224, + "y": -8 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 0 + } + }, + { + "id": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 872, + "y": 24 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1216, + "y": 72 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1072, + "y": 72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": 80 + } + }, + { + "id": "89e11527-8c3d-4bbf-9694-1159de958b40", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 864, + "y": 112 + } + }, + { + "id": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": 224 + } + }, + { + "id": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "type": "basic.inputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 816, + "y": 288 + } + }, + { + "id": "815134a8-2814-476d-88c0-0d74da86efc4", + "type": "basic.outputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 272, + "y": 304 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Delay", + "readonly": true + }, + "position": { + "x": 712, + "y": 168 + }, + "size": { + "width": 72, + "height": 32 + } + }, + { + "id": "01772f99-50e3-45ae-95b0-8bca41f89605", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 408, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6455dc0-2aad-4d56-8a09-4ebcaf8db425", + "type": "basic.info", + "data": { + "info": "Start a new period", + "readonly": true + }, + "position": { + "x": 528, + "y": 232 + }, + "size": { + "width": 160, + "height": 32 + } + }, + { + "id": "8d4fd5c0-10c3-4dc8-b87e-e78b842e9ce0", + "type": "basic.info", + "data": { + "info": "Start at cycle 0!", + "readonly": true + }, + "position": { + "x": 272, + "y": 184 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38f7b59d-a55f-446c-bbf2-60dfc32db5b5", + "type": "basic.info", + "data": { + "info": "Repeat!", + "readonly": true + }, + "position": { + "x": 288, + "y": 368 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "f401416a-89c7-48c4-a759-3a2780237e11", + "type": "basic.info", + "data": { + "info": "Current period ended \nStart a new one", + "readonly": true + }, + "position": { + "x": 816, + "y": 240 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "88d96c30-493c-4914-b0a4-19ffd91abacd", + "type": "basic.info", + "data": { + "info": "Periodo (in system clock cycles)", + "readonly": true + }, + "position": { + "x": 240, + "y": -48 + }, + "size": { + "width": 264, + "height": 40 + } + }, + { + "id": "b628b46a-1f69-485c-82cf-e48cdffb2fbe", + "type": "basic.info", + "data": { + "info": "Current cycle in the period \n(0-T-1)", + "readonly": true + }, + "position": { + "x": 1120, + "y": -80 + }, + "size": { + "width": 232, + "height": 48 + } + }, + { + "id": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 680, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 272, + "y": 224 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "port": "inlabel" + }, + "vertices": [ + { + "x": 800, + "y": 152 + } + ] + }, + { + "source": { + "block": "815134a8-2814-476d-88c0-0d74da86efc4", + "port": "outlabel" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" + } + }, + { + "source": { + "block": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "port": "out", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "89e11527-8c3d-4bbf-9694-1159de958b40", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "port": "outlabel" + }, + "target": { + "block": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "size": 32 + }, + "target": { + "block": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "port": "outlabel" + }, + "target": { + "block": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "port": "in" + } + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "port": "inlabel" + } + }, + { + "source": { + "block": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "port": "outlabel" + }, + "target": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + } + }, + { + "source": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [] + }, + { + "source": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "e1fb385d657acff350e273a34472cf836d8701f2": { + "package": { + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 1104, + "y": 40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1264, + "y": 40 + } + }, + { + "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 112, + "y": 64 + } + }, + { + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 248, + "y": 64 + } + }, + { + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 80 + } + }, + { + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 144 + } + }, + { + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", + "data": { + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 152 + } + }, + { + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 240, + "y": 152 + } + }, + { + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 400, + "y": 208 + } + }, + { + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1264, + "y": 240 + } + }, + { + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 1112, + "y": 240 + } + }, + { + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": 248 + } + }, + { + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 288 + } + }, + { + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 568, + "y": 360 + } + }, + { + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1272, + "y": 376 + } + }, + { + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 1104, + "y": 376 + } + }, + { + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 912, + "y": 376 + } + }, + { + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 568, + "y": 424 + } + }, + { + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 912, + "y": 440 + } + }, + { + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 568, + "y": 496 + } + }, + { + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 112, + "y": 224 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true + }, + "position": { + "x": 112, + "y": 136 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true + }, + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" + }, + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" + } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 + } + ] + } + } + }, + "ee22aefdb40369d328e34626d38b009de330f96b": { + "package": { + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -24, + "y": -296 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 104, + "y": -296 + } + }, + { + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": -280 + } + }, + { + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1016, + "y": -280 + } + }, + { + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -24, + "y": -232 + } + }, + { + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -232 + } + }, + { + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1176, + "y": -208 + } + }, + { + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 1016, + "y": -208 + } + }, + { + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": -160 + } + }, + { + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", + "data": { + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -24, + "y": -160 + } + }, + { + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": -24, + "y": -96 + } + }, + { + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -96 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 16 + } + }, + { + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 304, + "y": 40 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 32, + "y": 136 + } + }, + { + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 32, + "y": 192 + } + }, + { + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": -32, + "y": 304 + } + }, + { + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 488 + } + }, + { + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 632, + "y": 8 + } + }, + { + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 424, + "y": 368 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "32-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 256 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, + "position": { + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, + "position": { + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", + "position": { + "x": 120, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", + "position": { + "x": 296, + "y": 424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" + }, + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } + }, + { + "source": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" + } + }, + { + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" + }, + "target": { + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 + }, + "target": { + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "port": "outlabel" + }, + "target": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 + }, + "target": { + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + } + }, + { + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 592, + "y": 288 + } + ], + "size": 32 + }, + { + "source": { + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 + } + ] + } + } + }, + "0906c20b5d8675f4f9b5706640957da9573692b5": { + "package": { + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 616, + "y": -88 + } + }, + { + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 232, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 144, + "y": -472 + } + }, + { + "id": "64015db2-163d-4858-966f-2f7282b187c9", + "type": "basic.outputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 784, + "y": -440 + } + }, + { + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" + }, + "target": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" + }, + "target": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" }, "position": { - "x": -704, - "y": 40 + "x": 576, + "y": 80 } }, { - "id": "bdcce1e9-caca-4175-ae37-8e9e7850526b", + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", "type": "basic.input", "data": { - "name": "sel", - "range": "[1:0]", + "name": "", + "range": "[3:0]", "clock": false, - "size": 2 + "size": 4 }, "position": { - "x": -704, - "y": 96 + "x": 128, + "y": 184 } }, { - "id": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "//-- 4-1 mux \n\nassign o = (s == 2'b00) ? i0 :\n (s == 2'b01) ? i1 :\n (s == 2'b10) ? i2 : i3;\n\n", + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", "params": [], "ports": { "in": [ { - "name": "i3", - "range": "[11:0]", - "size": 12 - }, + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ { - "name": "i2", - "range": "[11:0]", - "size": 12 + "name": "o3" }, { - "name": "i1", - "range": "[11:0]", - "size": 12 + "name": "o2" }, { - "name": "i0", - "range": "[11:0]", - "size": 12 + "name": "o1" }, { - "name": "s", - "range": "[1:0]", - "size": 2 - } - ], - "out": [ - { - "name": "o", - "range": "[11:0]", - "size": 12 + "name": "o0" } ] } }, "position": { - "x": -464, - "y": -104 + "x": 296, + "y": 176 }, "size": { - "width": 352, - "height": 256 + "width": 208, + "height": 80 } } ], "wires": [ { "source": { - "block": "bdcce1e9-caca-4175-ae37-8e9e7850526b", - "port": "out" - }, - "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "s" - }, - "size": 2 - }, - { - "source": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "o" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "8d1e67f2-6537-4066-9fad-614e35f1e2e2", + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", "port": "in" - }, - "size": 12 + } }, { "source": { - "block": "a8691f68-8aa5-4cfe-a84f-a6a9010e3211", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i0" - }, - "size": 12 + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } }, { "source": { - "block": "74a62521-e0ae-4e8a-91b8-ca632dacf5ea", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i1" - }, - "size": 12 + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } }, { "source": { - "block": "36bde024-b4d5-447f-baca-32fdfaccd1b3", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i2" - }, - "size": 12 + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } }, { "source": { - "block": "5439f597-1d7a-450f-ba6c-56aeb4dacb0e", + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", "port": "out" }, "target": { - "block": "34e6d77b-15a8-4b7c-8c41-09e9b8d4d2be", - "port": "i3" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "size": 12 + "size": 4 } ] } } }, - "2254c0c3d04afb61db0b9d3de6e7e8353dc8d814": { + "84f0a15761ee8b753f67079819a7614923939472": { "package": { - "name": "Contador-basic", + "name": "Bus4-Join-all", "version": "0.1", - "description": "Contador básico, de 2 bits", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22309.29%22%20height=%22253.006%22%20viewBox=%220%200%2081.832924%2066.941262%22%3E%3Cg%20transform=%22translate(-48.2%20-4.54)%22%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.00937%200%200%201.00937%20-38.34%20-18.027)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "3c0a7e78-1d25-4326-a8ea-2c20438b2903", + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", "type": "basic.input", "data": { - "name": "", - "clock": true - }, - "position": { - "x": 360, - "y": 280 - } - }, - { - "id": "cc2a889d-36b3-4d91-bc24-58eb2b4d4cfc", - "type": "basic.output", - "data": { - "name": "", - "range": "[1:0]", - "size": 2 + "name": "3", + "clock": false }, "position": { - "x": 936, - "y": 320 + "x": 80, + "y": 64 } }, { - "id": "dbc43d59-e4ef-4479-9839-544d60c1d496", + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", "type": "basic.input", "data": { - "name": "cnt", + "name": "2", "clock": false }, "position": { - "x": 360, - "y": 360 - } - }, - { - "id": "0bc70179-bdf1-47e1-99cd-c1bd171bae2c", - "type": "basic.constant", - "data": { - "name": "ini", - "value": "0", - "local": false - }, - "position": { - "x": 568, - "y": 168 - } - }, - { - "id": "8b483356-a2b0-479c-afac-414ab38ce3d5", - "type": "basic.constant", - "data": { - "name": "inc", - "value": "1", - "local": false - }, - "position": { - "x": 728, - "y": 168 + "x": 80, + "y": 136 } }, { - "id": "dacd0b87-0f6e-44ed-afdf-056d1e35bc8c", - "type": "basic.info", + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", "data": { - "info": "**Contador básico**", - "readonly": true + "name": "", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 336, - "y": 144 - }, - "size": { - "width": 184, - "height": 32 + "x": 712, + "y": 200 } }, { - "id": "321457ce-e7b4-41ad-8db3-941767513e82", - "type": "basic.info", + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", "data": { - "info": "**Valor inicial**", - "readonly": true + "name": "1", + "clock": false }, "position": { - "x": 568, - "y": 128 - }, - "size": { - "width": 152, - "height": 40 + "x": 80, + "y": 208 } }, { - "id": "a3e724d4-bd88-4b71-ad14-4799ec8b14ae", - "type": "basic.info", + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", "data": { - "info": "**Incremento**", - "readonly": true + "name": "0", + "clock": false }, "position": { - "x": 736, - "y": 120 - }, - "size": { - "width": 152, - "height": 40 + "x": 80, + "y": 272 } }, { - "id": "1487a5b4-10e6-41fc-ab62-a2975da4c540", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "//-- Número de bits del contador\n\nlocalparam N = 2;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (cnt)\n q <= q + INC;", - "params": [ - { - "name": "INI" - }, - { - "name": "INC" - } - ], + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" }, { - "name": "cnt" + "name": "i0" } ], "out": [ { - "name": "q", - "range": "[1:0]", - "size": 2 + "name": "o", + "range": "[3:0]", + "size": 4 } ] } }, "position": { - "x": 536, - "y": 272 + "x": 296, + "y": 176 }, "size": { - "width": 320, - "height": 160 + "width": 344, + "height": 104 } } ], "wires": [ { "source": { - "block": "8b483356-a2b0-479c-afac-414ab38ce3d5", - "port": "constant-out" + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" }, "target": { - "block": "1487a5b4-10e6-41fc-ab62-a2975da4c540", - "port": "INC" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" } }, { "source": { - "block": "3c0a7e78-1d25-4326-a8ea-2c20438b2903", + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", "port": "out" }, "target": { - "block": "1487a5b4-10e6-41fc-ab62-a2975da4c540", - "port": "clk" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" } }, { "source": { - "block": "dbc43d59-e4ef-4479-9839-544d60c1d496", + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", "port": "out" }, "target": { - "block": "1487a5b4-10e6-41fc-ab62-a2975da4c540", - "port": "cnt" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] }, { "source": { - "block": "0bc70179-bdf1-47e1-99cd-c1bd171bae2c", - "port": "constant-out" + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" }, "target": { - "block": "1487a5b4-10e6-41fc-ab62-a2975da4c540", - "port": "INI" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] }, { "source": { - "block": "1487a5b4-10e6-41fc-ab62-a2975da4c540", - "port": "q" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "cc2a889d-36b3-4d91-bc24-58eb2b4d4cfc", + "block": "55180947-6349-4a04-a151-ad69ea2b155e", "port": "in" }, - "size": 2 + "size": 4 } ] } } }, - "519d315d08b3a8cc1aac53c9d14d2fd81d67033f": { + "afb28fd5426aea14477d11cbe30a290679f789f8": { "package": { - "name": "Corazon-tic-ms", + "name": "Bus8-Join-half", "version": "0.1", - "description": "Corazón de bombeo de tics a con periodo paramétrico de milisegundos", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22197.514%22%20height=%22161.086%22%20viewBox=%220%200%2052.259014%2042.62059%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22/%3E%3Ctext%20y=%2262.314%22%20x=%2259.897%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2215.216%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2262.314%22%20x=%2259.897%22%20font-weight=%22700%22%20font-size=%228.695%22%3Emsec%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "c138a610-b61f-4e7c-bb8a-c4f3b0b9f95c", - "type": "basic.output", + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", "data": { - "name": "" + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 912, - "y": 192 + "x": 128, + "y": 168 } }, { - "id": "503869f1-ddfd-4d13-93ad-5f90281ba88c", - "type": "basic.input", + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", "data": { "name": "", - "clock": true + "range": "[7:0]", + "size": 8 }, "position": { - "x": 104, - "y": 192 + "x": 640, + "y": 200 } }, { - "id": "136e8d6d-892a-4f14-8d6d-0c5bc6c3e844", - "type": "basic.constant", + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", "data": { - "name": "", - "value": "100", - "local": false + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 520, - "y": -128 + "x": 128, + "y": 224 } }, { - "id": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "//localparam MS;\n\n//-- Constante para dividir y obtener una frecuencia de 1KHz\nlocalparam M = 12000*MS;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Cable de reset para el contador\nwire reset;\n\n//-- Registro del divisor\nreg [N-1:0] divcounter;\n\n\n//-- Contador con reset\nalways @(posedge clk)\n if (reset)\n divcounter <= 0;\n else\n divcounter <= divcounter + 1;\n\n//-- Comparador que resetea el contador cuando se alcanza el tope\nassign reset = (divcounter == M-1);\n\n//-- La salida es la señal de overflow\nassign o = reset;\n\n\n\n", - "params": [ - { - "name": "MS" - } - ], + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 } ], "out": [ { - "name": "o" + "name": "o", + "range": "[7:0]", + "size": 8 } ] } }, "position": { "x": 296, - "y": -8 + "y": 176 }, "size": { - "width": 544, - "height": 456 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", + "block": "16e78204-213e-4833-9096-89d735307ec2", "port": "o" }, "target": { - "block": "c138a610-b61f-4e7c-bb8a-c4f3b0b9f95c", + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", "port": "in" - } + }, + "size": 8 }, { "source": { - "block": "503869f1-ddfd-4d13-93ad-5f90281ba88c", + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", "port": "out" }, "target": { - "block": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", - "port": "clk" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 }, { "source": { - "block": "136e8d6d-892a-4f14-8d6d-0c5bc6c3e844", - "port": "constant-out" + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" }, "target": { - "block": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", - "port": "MS" - } + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 } ] } } }, - "82e4b0259d2efc4c461d726c59124df6fd48d2bd": { + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { "package": { - "name": "generator-tone-noise", + "name": "AdderC-4bits", "version": "0.1", - "description": "tone-noise generator", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%20202.33365%20161.71387%22%20height=%22161.714%22%20width=%22202.334%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6%22%20xlink:href=%22#gpPt5%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9%22%20xlink:href=%22#gpPt7%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10%22%20xlink:href=%22#gpPt9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11%22%20xlink:href=%22#gpPt3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12%22%20xlink:href=%22#gpPt11%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpDot-3%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2%22%20id=%22gpPt0-6%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1-1l2%202m0-2l-2%202%22%20id=%22gpPt1-7%22%20stroke-width=%22.133%22/%3E%3Cpath%20d=%22M-1%200h2M0-1v2m-1-2l2%202m-2%200l2-2%22%20id=%22gpPt2-5%22%20stroke-width=%22.133%22/%3E%3Cpath%20id=%22gpPt3-3%22%20stroke-width=%22.133%22%20d=%22M-1-1h2v2h-2z%22/%3E%3Cuse%20id=%22gpPt4-5%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Ccircle%20r=%221%22%20id=%22gpPt5-6%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt6-2%22%20xlink:href=%22#gpPt5-6%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cpath%20d=%22M0-1.33l-1.33%202h2.66z%22%20id=%22gpPt7-9%22%20stroke-width=%22.133%22/%3E%3Cuse%20id=%22gpPt8-1%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22scale(-1)%22%20id=%22gpPt9-2%22%20xlink:href=%22#gpPt7-9%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt10-7%22%20xlink:href=%22#gpPt9-2%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cuse%20transform=%22rotate(45)%22%20id=%22gpPt11-0%22%20xlink:href=%22#gpPt3-3%22%20width=%22100%25%22%20height=%22100%25%22/%3E%3Cuse%20id=%22gpPt12-9%22%20xlink:href=%22#gpPt11-0%22%20width=%22100%25%22%20height=%22100%25%22%20fill=%22currentColor%22/%3E%3Cstyle%20id=%22style3052%22%3E*%7Bstroke-linecap:square;stroke-linejoin:round%7D%3C/style%3E%3Cpath%20d=%22M0%200v-4%22%20id=%22mcb557df647%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200v4%22%20id=%22mdad270ee8e%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M31.781%2066.406q-7.61%200-11.453-7.5Q16.5%2051.422%2016.5%2036.375q0-14.984%203.828-22.484%203.844-7.5%2011.453-7.5%207.672%200%2011.5%207.5%203.844%207.5%203.844%2022.484%200%2015.047-3.844%2022.531-3.828%207.5-11.5%207.5m0%207.813q12.266%200%2018.735-9.703%206.468-9.688%206.468-28.141%200-18.406-6.468-28.11-6.47-9.687-18.735-9.687-12.25%200-18.718%209.688-6.47%209.703-6.47%2028.109%200%2018.453%206.47%2028.14Q19.53%2074.22%2031.78%2074.22%22%20id=%22BitstreamVeraSans-Roman-30%22/%3E%3Cpath%20d=%22M19.188%208.297h34.421V0H7.33v8.297q5.609%205.812%2015.296%2015.594%209.703%209.797%2012.188%2012.64%204.734%205.313%206.609%209%201.89%203.688%201.89%207.25%200%205.813-4.078%209.469-4.078%203.672-10.625%203.672-4.64%200-9.797-1.61-5.14-1.609-11-4.89v9.969Q13.767%2071.78%2018.938%2073q5.188%201.219%209.485%201.219%2011.328%200%2018.062-5.672%206.735-5.656%206.735-15.125%200-4.5-1.688-8.531-1.672-4.016-6.125-9.485-1.218-1.422-7.765-8.187-6.532-6.766-18.453-18.922%22%20id=%22BitstreamVeraSans-Roman-32%22/%3E%3Cpath%20d=%22M37.797%2064.313L12.89%2025.39h24.906zm-2.594%208.593H47.61V25.391h10.407v-8.203H47.609V0h-9.812v17.188H4.89v9.515z%22%20id=%22BitstreamVeraSans-Roman-34%22/%3E%3Cpath%20d=%22M33.016%2040.375q-6.641%200-10.532-4.547-3.875-4.531-3.875-12.437%200-7.86%203.875-12.438%203.891-4.562%2010.532-4.562%206.64%200%2010.515%204.562%203.875%204.578%203.875%2012.438%200%207.906-3.875%2012.437-3.875%204.547-10.515%204.547m19.578%2030.922v-8.984q-3.719%201.75-7.5%202.671-3.782.938-7.5.938-9.766%200-14.922-6.594-5.14-6.594-5.875-19.922%202.875%204.25%207.219%206.516%204.359%202.266%209.578%202.266%2010.984%200%2017.36-6.672%206.374-6.657%206.374-18.125%200-11.235-6.64-18.032-6.641-6.78-17.672-6.78-12.657%200-19.344%209.687-6.688%209.703-6.688%2028.109%200%2017.281%208.204%2027.563%208.203%2010.28%2022.015%2010.28%203.719%200%207.5-.734t7.89-2.187%22%20id=%22BitstreamVeraSans-Roman-36%22/%3E%3Cpath%20d=%22M31.781%2034.625q-7.031%200-11.062-3.766-4.016-3.765-4.016-10.343%200-6.594%204.016-10.36Q24.75%206.391%2031.78%206.391q7.032%200%2011.078%203.78%204.063%203.798%204.063%2010.345%200%206.578-4.031%2010.343-4.016%203.766-11.11%203.766m-9.86%204.188q-6.343%201.562-9.89%205.906Q8.5%2049.079%208.5%2055.329q0%208.733%206.219%2013.812%206.234%205.078%2017.062%205.078%2010.89%200%2017.094-5.078%206.203-5.079%206.203-13.813%200-6.25-3.547-10.61-3.531-4.343-9.828-5.906%207.125-1.656%2011.094-6.5%203.984-4.828%203.984-11.796%200-10.61-6.468-16.282-6.47-5.656-18.532-5.656-12.047%200-18.531%205.656-6.469%205.672-6.469%2016.282%200%206.968%204%2011.797%204.016%204.843%2011.14%206.5M18.314%2054.39q0-5.657%203.53-8.828%203.548-3.172%209.938-3.172%206.36%200%209.938%203.172%203.593%203.171%203.593%208.828%200%205.672-3.593%208.843-3.578%203.172-9.938%203.172-6.39%200-9.937-3.172-3.532-3.172-3.532-8.843%22%20id=%22BitstreamVeraSans-Roman-38%22/%3E%3Cpath%20d=%22M12.406%208.297h16.11v55.625l-17.532-3.516v8.985l17.438%203.515h9.86V8.296H54.39V0H12.406z%22%20id=%22BitstreamVeraSans-Roman-31%22/%3E%3Cpath%20d=%22M0%200h4%22%20id=%22mc8fcea1516%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3Cpath%20d=%22M0%200h-4%22%20id=%22m0d5b0a6425%22%20stroke=%22#000%22%20stroke-width=%22.5%22/%3E%3CclipPath%20id=%22p7ff5b81e1d%22%3E%3Cpath%20id=%22rect3245%22%20d=%22M72%2043.2h446.4v345.6H72z%22/%3E%3C/clipPath%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Ccircle%20id=%22path1606%22%20cx=%2237.811%22%20cy=%22123.902%22%20r=%2236.696%22%20fill=%22#f9f9f9%22%20stroke=%22#000%22%20stroke-width=%222.232%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22141.446%22%20x=%22136.029%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2235.424%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.236%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22141.446%22%20x=%22136.029%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.69%22%3ENoise%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22378.437%22%20x=%22-163.768%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22/%3E%3Cpath%20id=%22path2375%22%20d=%22M844.847%2091.713%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2399%22%20d=%22M454.235-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2411%22%20d=%22M519.337-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2471%22%20d=%22M844.847-55.93699999999998%22%20color=%22#000%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.426%22/%3E%3Cpath%20id=%22path2487%22%20d=%22M9.646%20122.603l.823-1.599.914-1.6.822-1.526.823-1.526.822-1.454.914-1.381.822-1.309.823-1.236.822-1.09.914-.945.823-.872.822-.727.914-.655.823-.436.822-.29.822-.146h.914l.823.145.822.291.823.436.914.655.822.727.823.872.913.945.823%201.09.822%201.236.823%201.309.914%201.38.822%201.455.823%201.526.822%201.527.914%201.6.822%201.598.823%201.6.914%201.599.822%201.527.823%201.526.822%201.454.914%201.381.822%201.309.823%201.236.822%201.09.914.945.823.872.822.727.914.654.823.437.822.29.822.146h.914l.823-.146.822-.29.823-.437.914-.654.822-.727.823-.872.913-.945.823-1.09.822-1.236.823-1.309.914-1.381.822-1.454.823-1.526.822-1.527.914-1.6.822-1.599%22%20color=%22red%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.213%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.33333%200%200%201.33333%20345.782%20-115.517)%22%20id=%22patch_2%22%3E%3Cpath%20id=%22path3059%22%20d=%22M72%20388.8h446.4V43.2H72z%22%20fill=%22#fff%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.24609%200%200%20.98154%2026.604%20-77.931)%22%20id=%22line2d_1%22%20stroke-width=%22.452%22%3E%3Cpath%20transform=%22matrix(2.40534%200%200%20.3994%20-582.624%2044.725)%22%20id=%22path3062%22%20d=%22M238.061%20221.411l.446-25.199.447%2016.98.446-38.405.446-70.353.447%2060.659.446-51.632.447%20125.76.446-9.78.446%2045.342.893-81.556.447%2042.637.446%2066.129.446-105.65.447%2078.633.446-84.467.447%2012.022.446%2035.765.446-46.881.447%2058.801.446%2026.687.447-22.757.446-34.389.446-46.182.447%2067.387.446-39.893.447%2025.369.446%2047.468.446-43.913.447-25.598.446%2031.379.447-60.838.446%2099.308.446-110.83.893%2061.01.447%207.216.446%2030.342.446-57.516.447-37.35.446%20100.807.447-3.275.446-25.79.446-40.216.447%203.726.446-16.955.447-27.499.446%2051.416.446-62.516.447%2090.441.446-43.834.447%2066.712.446-118.862.446%2030.9.447%2013.315.446%207.64.447%2074.164.446%2022.814.893-56.159.446-44.743.447-7.329.446%2045.909.446%2014.122.447%203.403.446%207.966.447-89.663.446%2091.88.446-103.879.447%20139.778.446-29.272.447%2019.93.446-98.4.446%203.982.893%20108.281.447-29.99.446-145.837.446%2043.054.447%2019.359.446%2066.886.447-2.853.446-11.105.446-77.793.447%2033.052.446-10.708.893%2084.872.446%205.914.447-29.148.446-47.477.447%2066.745.446%207.899.446-63.47.447%2012.928.446-67.294.447-1.957.446%20159.016.446-172.724.447%2071.177.446-29.401.447%2062.547.446%2010.469.446%2020.344.447-10.05.446-56.45.447%2010.337.446%2030.162.446-45.847.447-66.028.446-1.779.447%2083.282.446-123.191.446%20115.479.447-84.859.446-17.071.447%20165.079.446-64.183.446%2048.302.447-73.319.446%2034.263.447-88.671.446%2049.2.893%2045.432.446%2056.226.447-70.466.446-46.454.446%20125.494.447-74.601.446-9.101.447%2058.65.446-50.294.446-13.647.447-19.783.446%2012.191%22%20clip-path=%22url(#p7ff5b81e1d)%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%22.461%22/%3E%3C/g%3E%3C/svg%3E", - "otid": 1588504190451 + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 }, "design": { "graph": { "blocks": [ { - "id": "f508b512-a1ab-42e5-878f-3690dfe8ddac", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 664, - "y": 640 - } - }, - { - "id": "dd369695-00c2-4906-8a41-14acdc9b1408", + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", "type": "basic.inputLabel", "data": { - "blockColor": "yellow", - "name": "clk", + "blockColor": "deeppink", + "name": "a3", "pins": [ { "index": "0", - "name": "", - "value": "" + "name": "NULL", + "value": "NULL" } ], - "virtual": true + "virtual": true, + "oldBlockColor": "deeppink" }, "position": { - "x": 808, - "y": 640 + "x": 8, + "y": -744 } }, { - "id": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "r20", + "blockColor": "deeppink", + "name": "a2", "pins": [ { "index": "0", @@ -10973,33 +20489,32 @@ } ], "virtual": true, - "oldBlockColor": "fuchsia" + "oldBlockColor": "deeppink" }, "position": { - "x": 1976, - "y": 736 + "x": 8, + "y": -688 } }, { - "id": "fe4665a9-f28c-4182-9208-5b8944e11d63", + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", "type": "basic.outputLabel", "data": { - "name": "r", - "range": "[22:0]", "blockColor": "fuchsia", - "size": 23 + "name": "b3", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1672, - "y": 736 + "x": 576, + "y": -672 } }, { - "id": "1863b72e-5766-46ac-8069-2a95a616dcc8", + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", "type": "basic.inputLabel", "data": { - "blockColor": "fuchsia", - "name": "r11", + "blockColor": "deeppink", + "name": "a1", "pins": [ { "index": "0", @@ -11008,71 +20523,114 @@ } ], "virtual": true, - "oldBlockColor": "fuchsia" + "oldBlockColor": "deeppink" }, "position": { - "x": 2456, - "y": 736 + "x": 8, + "y": -632 } }, { - "id": "a73bd436-5168-495f-818e-238ff938574c", + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", "type": "basic.outputLabel", "data": { - "name": "r", - "range": "[22:0]", - "blockColor": "fuchsia", - "size": 23 + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" }, "position": { - "x": 2160, - "y": 736 + "x": 456, + "y": -584 } }, { - "id": "71764031-997f-41ff-9a58-d822d28927dc", + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "r22" + "name": "b2", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2704, - "y": 744 + "x": 456, + "y": -528 } }, { - "id": "06af9306-8956-41b0-a33b-a50ee3cb8ece", - "type": "basic.outputLabel", + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "r20", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, "oldBlockColor": "fuchsia" }, "position": { - "x": 2704, - "y": 800 + "x": -8, + "y": -448 } }, { - "id": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", "type": "basic.outputLabel", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1192, - "y": 816 + "x": 328, + "y": -440 } }, { - "id": "d0ac1354-2a1a-41ef-b3f0-870814de248a", + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "r22", + "name": "b2", "pins": [ { "index": "0", @@ -11080,57 +20638,59 @@ "value": "NULL" } ], - "virtual": true + "virtual": true, + "oldBlockColor": "fuchsia" }, "position": { - "x": 1536, - "y": 848 + "x": -8, + "y": -384 } }, { - "id": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", - "type": "basic.outputLabel", + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", "data": { - "blockColor": "fuchsia", - "name": "r16", - "oldBlockColor": "fuchsia" + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 }, "position": { - "x": 2704, - "y": 856 + "x": -312, + "y": -384 } }, { - "id": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", "type": "basic.outputLabel", "data": { "blockColor": "fuchsia", - "name": "r22" + "name": "b1" }, "position": { - "x": 1040, - "y": 896 + "x": 328, + "y": -376 } }, { - "id": "aef43116-9ab7-4175-9828-cbf27b985d7f", - "type": "basic.outputLabel", + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", "data": { - "blockColor": "fuchsia", - "name": "r13", - "oldBlockColor": "fuchsia" + "name": "s", + "range": "[3:0]", + "size": 4 }, "position": { - "x": 2704, - "y": 904 + "x": 1064, + "y": -368 } }, { - "id": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", + "id": "527c9113-e440-454b-b427-182b646c10f5", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "r7", + "name": "b1", "pins": [ { "index": "0", @@ -11138,34 +20698,32 @@ "value": "NULL" } ], - "virtual": true, - "oldBlockColor": "fuchsia" + "virtual": true }, "position": { - "x": 2448, - "y": 920 + "x": -16, + "y": -320 } }, { - "id": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", "type": "basic.outputLabel", "data": { - "name": "r", - "range": "[22:0]", - "blockColor": "fuchsia", - "size": 23 + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 2152, - "y": 920 + "x": 184, + "y": -296 } }, { - "id": "16abb958-be27-4444-9f6b-84ebc4b62e78", + "id": "b652825e-10ba-47cc-9832-e39d73586234", "type": "basic.inputLabel", "data": { "blockColor": "fuchsia", - "name": "r17", + "name": "b0", "pins": [ { "index": "0", @@ -11177,656 +20735,709 @@ "oldBlockColor": "fuchsia" }, "position": { - "x": 1968, - "y": 928 + "x": -32, + "y": -264 } }, { - "id": "3945ce11-9f6e-41a3-a20b-999e25714702", + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", "type": "basic.outputLabel", "data": { - "name": "r", - "range": "[22:0]", "blockColor": "fuchsia", - "size": 23 + "name": "b0", + "oldBlockColor": "fuchsia" }, "position": { - "x": 1672, - "y": 928 + "x": 184, + "y": -232 } }, { - "id": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", - "type": "basic.inputLabel", + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", "data": { - "name": "r", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "name": "ci", + "clock": false + }, + "position": { + "x": -296, + "y": -168 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "position": { - "x": 1536, - "y": 944 + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" } }, { - "id": "3cdd862d-2200-4845-8f2d-13185122451b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r17", - "oldBlockColor": "fuchsia" + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "position": { - "x": 1040, - "y": 960 + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" } }, { - "id": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", - "type": "basic.output", - "data": { - "name": "sample", - "range": "[11:0]", - "size": 12 + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" }, - "position": { - "x": 3272, - "y": 976 + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { - "id": "bdbadc17-4209-46a6-807f-d3d02d165e2b", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r11", - "oldBlockColor": "fuchsia" + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" }, - "position": { - "x": 2704, - "y": 976 + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r7", - "oldBlockColor": "fuchsia" + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" }, - "position": { - "x": 2704, - "y": 1032 + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" } }, { - "id": "e6b47afc-9465-4141-9e78-343c0abc087e", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" }, - "position": { - "x": 896, - "y": 1032 + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r4", - "oldBlockColor": "fuchsia" + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" }, - "position": { - "x": 2704, - "y": 1088 + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" } }, { - "id": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r16", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "position": { - "x": 1968, - "y": 1104 + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" } }, { - "id": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "blockColor": "fuchsia", - "size": 23 + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" }, - "position": { - "x": 1672, - "y": 1104 + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" } }, { - "id": "5b363406-eaee-4af4-895c-662fa714c7f4", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r4", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" }, - "position": { - "x": 2448, - "y": 1104 + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { - "id": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "blockColor": "fuchsia", - "size": 23 + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" }, - "position": { - "x": 2152, - "y": 1104 + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "c0405b9f-e8dd-4770-ab15-300719263cdc", - "type": "basic.outputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r2", - "oldBlockColor": "fuchsia" + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, - "position": { - "x": 2704, - "y": 1144 + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" } }, { - "id": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "type": "basic.input", - "data": { - "name": "phase", - "range": "[23:0]", - "clock": false, - "size": 24 + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" }, - "position": { - "x": 720, - "y": 1224 + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" } }, { - "id": "8bc11e40-8b3d-4246-9941-2d442b68b65f", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r13", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" }, - "position": { - "x": 1976, - "y": 1280 + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { - "id": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "blockColor": "fuchsia", - "size": 23 + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" }, - "position": { - "x": 1680, - "y": 1280 - } + "size": 4 }, { - "id": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", - "type": "basic.inputLabel", - "data": { - "blockColor": "fuchsia", - "name": "r2", - "pins": [ - { - "index": "0", - "name": "NULL", - "value": "NULL" - } - ], - "virtual": true, - "oldBlockColor": "fuchsia" + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" }, - "position": { - "x": 2448, - "y": 1288 - } + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 }, { - "id": "71d17b9b-694b-4637-8a2e-669a8740abbf", - "type": "basic.outputLabel", - "data": { - "name": "r", - "range": "[22:0]", - "blockColor": "fuchsia", - "size": 23 + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 2152, - "y": 1288 - } + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] }, { - "id": "33ebd859-d504-4139-90e0-9f8707c014f3", - "type": "basic.constant", - "data": { - "name": "", - "value": "19", - "local": true + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, - "position": { - "x": 888, - "y": 1128 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } }, { - "id": "6aa6538e-d255-4da0-b455-929e85b53e5f", - "type": "basic.constant", - "data": { - "name": "", - "value": "23'b01101110010010000101011", - "local": true + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" }, - "position": { - "x": 1360, - "y": 792 + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" } }, { - "id": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", - "type": "basic.constant", + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "17", - "local": true + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" }, "position": { - "x": 1824, - "y": 832 + "x": -64, + "y": -648 } }, { - "id": "01418e00-852b-43a9-948d-713721b5f4e7", - "type": "basic.constant", + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", "data": { "name": "", - "value": "16", - "local": true + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 1824, - "y": 1008 + "x": -408, + "y": -584 } }, { - "id": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", - "type": "basic.constant", + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "13", - "local": true + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" }, "position": { - "x": 1832, - "y": 1184 + "x": -64, + "y": -568 } }, { - "id": "3c45c485-1262-499b-a0f0-73c5235a5aeb", - "type": "basic.constant", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "", - "value": "20", - "local": true + "name": "c" }, "position": { - "x": 1832, - "y": 640 + "x": 832, + "y": -480 } }, { - "id": "94926ff6-27ff-467e-8804-a3618fefeb05", - "type": "basic.constant", + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", "data": { - "name": "", - "value": "7", - "local": true + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, "position": { - "x": 2304, - "y": 824 + "x": 248, + "y": -456 } }, { - "id": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", - "type": "basic.constant", + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "4", - "local": true + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" }, "position": { - "x": 2304, - "y": 1008 + "x": -80, + "y": -448 } }, { - "id": "2f1d0634-4943-4991-92c6-5e74879f70ad", - "type": "basic.constant", + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", "data": { "name": "", - "value": "2", - "local": true + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 2304, - "y": 1192 + "x": -400, + "y": -392 } }, { - "id": "fa3cedd1-efc5-4464-a07c-11e1248c4283", - "type": "basic.constant", + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", "data": { - "name": "", - "value": "11", - "local": true + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" }, "position": { - "x": 2312, - "y": 640 + "x": -80, + "y": -376 } }, { - "id": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "type": "8e2728307baccbf26c01cdb87bcfba8ca64a435c", - "position": { - "x": 1064, - "y": 1208 + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "type": "832c8ef4212d370059da69837d54be8864cbca2b", "position": { - "x": 888, - "y": 1224 - }, - "size": { - "width": 96, - "height": 64 + "x": 248, + "y": -376 } }, { - "id": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "type": "c117b63724ee3d4a37266b1fb1c97f32b0f91305", - "position": { - "x": 1360, - "y": 904 + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "c3df28c2-037d-415d-86f6-1addcca9705d", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", "position": { - "x": 1824, - "y": 928 - }, - "size": { - "width": 96, - "height": 64 + "x": 840, + "y": -336 } }, { - "id": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", - "position": { - "x": 1200, - "y": 912 + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "9f1650f4-b36d-474e-806b-8acd57c50072", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", "position": { - "x": 1832, - "y": 736 - }, - "size": { - "width": 96, - "height": 64 + "x": 120, + "y": -304 } }, { - "id": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 1824, - "y": 1104 + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", "position": { - "x": 1832, - "y": 1280 - }, - "size": { - "width": 96, - "height": 64 + "x": 120, + "y": -232 } }, { - "id": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", - "position": { - "x": 2312, - "y": 736 + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "129f2a60-0728-472b-983e-7dd2edddc301", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", "position": { - "x": 2304, - "y": 920 - }, - "size": { - "width": 96, - "height": 64 + "x": -392, + "y": -168 } }, { - "id": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 2304, - "y": 1104 + "x": -248, + "y": -392 }, "size": { "width": 96, @@ -11834,11 +21445,11 @@ } }, { - "id": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "type": "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6", + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", "position": { - "x": 2304, - "y": 1288 + "x": -240, + "y": -584 }, "size": { "width": 96, @@ -11846,1365 +21457,1251 @@ } }, { - "id": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "type": "7263b9b45cf45542cb1e2badb21718e15c151ca5", + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", "position": { - "x": 2904, - "y": 864 + "x": 688, + "y": -336 }, "size": { "width": 96, - "height": 256 + "height": 64 } }, { - "id": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", - "type": "a0b8df28f3452068e469a9c210e4e62ae8c78900", + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", "position": { - "x": 2936, - "y": 1160 + "x": 320, + "y": -248 }, "size": { "width": 96, - "height": 64 + "height": 96 } }, { - "id": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "type": "7facfb19e53e335d9b2a5da4d795f2ed6c6a44d5", + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", "position": { - "x": 3104, - "y": 976 + "x": 464, + "y": -392 }, "size": { "width": 96, - "height": 64 + "height": 96 } } ], "wires": [ { "source": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" - }, - "target": { - "block": "d0ac1354-2a1a-41ef-b3f0-870814de248a", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "367ee5f5-83e8-466f-8698-28455552ff71", - "size": 23 - }, - "target": { - "block": "377b1be5-7c96-46a5-bfb6-17f75a2c738c", - "port": "inlabel" - }, - "vertices": [], - "size": 23 - }, - { - "source": { - "block": "c3df28c2-037d-415d-86f6-1addcca9705d", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" - }, - "target": { - "block": "16abb958-be27-4444-9f6b-84ebc4b62e78", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "3945ce11-9f6e-41a3-a20b-999e25714702", - "port": "outlabel" - }, - "target": { - "block": "c3df28c2-037d-415d-86f6-1addcca9705d", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 - }, - "vertices": [], - "size": 23 - }, - { - "source": { - "block": "c709ded0-0a5f-4b79-a8cd-a41acfdb84f3", - "port": "outlabel" - }, - "target": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" - }, - "vertices": [] - }, - { - "source": { - "block": "3cdd862d-2200-4845-8f2d-13185122451b", - "port": "outlabel" - }, - "target": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "97b51945-d716-4b6c-9db9-970d08541249" - }, - "vertices": [] - }, - { - "source": { - "block": "9f1650f4-b36d-474e-806b-8acd57c50072", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "4bb7f609-1a63-48eb-ba0e-78d7d93f89fe", + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", "port": "inlabel" }, - "vertices": [] - }, - { - "source": { - "block": "fe4665a9-f28c-4182-9208-5b8944e11d63", - "port": "outlabel" - }, - "target": { - "block": "9f1650f4-b36d-474e-806b-8acd57c50072", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 - }, - "vertices": [], - "size": 23 + "size": 4 }, { "source": { - "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "7d0a2e1a-d7f6-43de-bd60-69485ebb8b8b", + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", "port": "inlabel" }, - "vertices": [] - }, - { - "source": { - "block": "c22e8b57-f846-4cbb-9b43-e5f6bbe70a15", - "port": "outlabel" - }, - "target": { - "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 - }, - "vertices": [], - "size": 23 + "size": 4 }, { "source": { - "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 }, "target": { - "block": "8bc11e40-8b3d-4246-9941-2d442b68b65f", + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", "port": "inlabel" }, - "vertices": [] + "size": 4 }, { "source": { - "block": "dfd84a2b-fdbb-424c-88f3-cc034e92218b", - "port": "outlabel" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 }, "target": { - "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" }, - "vertices": [], - "size": 23 + "size": 4 }, { "source": { - "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" }, "target": { - "block": "1863b72e-5766-46ac-8069-2a95a616dcc8", - "port": "inlabel" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "vertices": [] + "size": 4 }, { "source": { - "block": "a73bd436-5168-495f-818e-238ff938574c", + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", "port": "outlabel" }, "target": { - "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "vertices": [], - "size": 23 + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 }, { "source": { - "block": "129f2a60-0728-472b-983e-7dd2edddc301", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" }, "target": { - "block": "b31675af-972b-4e7a-ae91-7a49e85fe6b6", - "port": "inlabel" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 }, - "vertices": [] + "size": 4 }, { "source": { - "block": "0d4f71e1-1bb4-4b64-a143-015e7df27c38", + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", "port": "outlabel" }, "target": { - "block": "129f2a60-0728-472b-983e-7dd2edddc301", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 }, - "vertices": [], - "size": 23 + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 }, { "source": { - "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "5b363406-eaee-4af4-895c-662fa714c7f4", - "port": "inlabel" - }, - "vertices": [] + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } }, { "source": { - "block": "01ca4c26-2ebc-4561-a347-dedc0d4f34a3", - "port": "outlabel" + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" }, "target": { - "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 - }, - "vertices": [], - "size": 23 + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } }, { "source": { - "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" }, "target": { - "block": "5988e7bd-a26c-4a58-b2f0-115a228b2b50", - "port": "inlabel" + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "71d17b9b-694b-4637-8a2e-669a8740abbf", - "port": "outlabel" + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" }, "target": { - "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "port": "fbb7417a-5326-4b54-a511-d44d30625d6d", - "size": 23 + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" }, - "vertices": [], - "size": 23 + "size": 8 }, { "source": { - "block": "71764031-997f-41ff-9a58-d822d28927dc", - "port": "outlabel" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa" + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "06af9306-8956-41b0-a33b-a50ee3cb8ece", - "port": "outlabel" + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" }, - "vertices": [ - { - "x": 2848, - "y": 896 - } - ] + "size": 4 }, { "source": { - "block": "045bf633-2cc7-4bc6-8546-d56b1d0b1160", - "port": "outlabel" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "9be0c95b-b44b-4eec-9132-d3775d8e9897" + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" }, - "vertices": [ - { - "x": 2832, - "y": 904 - } - ] + "size": 4 }, { "source": { - "block": "aef43116-9ab7-4175-9828-cbf27b985d7f", - "port": "outlabel" + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" }, "vertices": [ { - "x": 2816, - "y": 960 + "x": 624, + "y": -392 } ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } }, { - "source": { - "block": "bdbadc17-4209-46a6-807f-d3d02d165e2b", - "port": "outlabel" + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 }, - "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "d2b89642-2cf6-4d14-9215-4eb774c9853d" + "position": { + "x": 632, + "y": 200 + } + }, + { + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 }, - "vertices": [] + "position": { + "x": 120, + "y": 264 + } }, { - "source": { - "block": "c0405b9f-e8dd-4770-ab15-300719263cdc", - "port": "outlabel" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } }, - "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "4f112367-2a70-4bac-acdc-8e3ad3f42257" + "position": { + "x": 296, + "y": 176 }, - "vertices": [] - }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "43b8d1bc-e7c1-474f-a8f6-fce9db5f4519", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba" + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "99ae7845-cfbb-4fdd-a620-6f96463c15f6", - "port": "outlabel" + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" }, "target": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "42733799-2dd4-429b-bd43-a478067a10a6" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "f508b512-a1ab-42e5-878f-3690dfe8ddac", + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", "port": "out" }, "target": { - "block": "dd369695-00c2-4906-8a41-14acdc9b1408", - "port": "inlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 }, - "vertices": [] + "position": { + "x": 120, + "y": 168 + } }, { - "source": { - "block": "e6b47afc-9465-4141-9e78-343c0abc087e", - "port": "outlabel" + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 }, - "target": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "4196184b-4a60-493b-bcc6-c95958483683" + "position": { + "x": 640, + "y": 200 } }, { - "source": { - "block": "aa7312aa-e745-4e7c-bc40-bc6ec4bfadc1", - "port": "outlabel" + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 }, - "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "07894df1-fc7e-49b9-bb99-fa49ed83929e" + "position": { + "x": 120, + "y": 264 } }, { - "source": { - "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "port": "352fe87a-e310-4225-9c82-86adf05aade8" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } }, - "target": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "b6426b43-dcda-418d-b6d3-4764b5bc0b25" + "position": { + "x": 296, + "y": 176 }, - "vertices": [] - }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "33ebd859-d504-4139-90e0-9f8707c014f3", - "port": "constant-out" + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" }, "target": { - "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "d4a1d04a-ba0b-4e5a-b5a6-7cb4948d7a51", - "port": "1d2e403f-0fa6-41fd-83a9-4f309eadd855" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "d87dc0ca-b86d-4e51-a133-d49b2d371b94" + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "6aa6538e-d255-4da0-b455-929e85b53e5f", - "port": "constant-out" + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" }, "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "f21edaa1-e1b0-4659-885e-706cbc97921b" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "vertices": [] - }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "6140bd6e-7cea-410b-b1a7-61844aacd4c3", - "port": "constant-out" - }, - "target": { - "block": "c3df28c2-037d-415d-86f6-1addcca9705d", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", + "data": { + "name": "1", + "range": "[23:0]", + "size": 24 }, - "vertices": [] + "position": { + "x": 592, + "y": 152 + } }, { - "source": { - "block": "7b6c471a-91d8-42f6-b5aa-281c801b828c", - "port": "664caf9e-5f40-4df4-800a-b626af702e62" - }, - "target": { - "block": "e3dcb4f2-b673-4828-9151-45c0571982d5", - "port": "0260d9ea-0c59-4741-a37f-318b83113261" + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, - "vertices": [] + "position": { + "x": 72, + "y": 208 + } }, { - "source": { - "block": "01418e00-852b-43a9-948d-713721b5f4e7", - "port": "constant-out" - }, - "target": { - "block": "2d0d45c9-8b02-40c4-97db-3286a7441128", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "vertices": [] + "position": { + "x": 592, + "y": 232 + } }, { - "source": { - "block": "ecf5d077-b487-4e64-9d3f-4837eac2d50d", - "port": "constant-out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "a78cc763-f111-4103-a7e8-4cf2381407fb", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "position": { + "x": 272, + "y": 176 }, - "vertices": [] - }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ { "source": { - "block": "94926ff6-27ff-467e-8804-a3618fefeb05", - "port": "constant-out" + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" }, "target": { - "block": "129f2a60-0728-472b-983e-7dd2edddc301", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 32 }, { "source": { - "block": "c0311642-9aaa-4a8d-8b4c-9e2b87563be6", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "125bfd55-4f4c-4df9-9400-f43f549a6637", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" }, - "vertices": [] + "size": 8 }, { "source": { - "block": "2f1d0634-4943-4991-92c6-5e74879f70ad", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "feb828b4-f8a1-459e-bd20-d3ef1dbd8b64", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" }, - "vertices": [] - }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "9bb3ff8b-9586-4b5a-b980-92d9191534d3", - "port": "0eaf305e-e0d9-4382-9f75-39e9d87675a9" + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 }, - "target": { - "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "port": "906137ba-f840-4759-9556-b6a0ec101654" + "position": { + "x": 600, + "y": 128 + } + }, + { + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "vertices": [], - "size": 8 + "position": { + "x": 96, + "y": 200 + } }, { - "source": { - "block": "786a394f-edcf-4fb7-a76a-6b3978e3a4fd", - "port": "53acced8-0736-40f7-8db1-7103a21f31c2" - }, - "target": { - "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "port": "92d22347-a77a-488e-9e2a-43fb40e3c700" + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "vertices": [], - "size": 4 + "position": { + "x": 592, + "y": 224 + } }, { - "source": { - "block": "3c45c485-1262-499b-a0f0-73c5235a5aeb", - "port": "constant-out" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, - "target": { - "block": "9f1650f4-b36d-474e-806b-8acd57c50072", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "position": { + "x": 272, + "y": 168 }, - "vertices": [] - }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ { "source": { - "block": "fa3cedd1-efc5-4464-a07c-11e1248c4283", - "port": "constant-out" + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "port": "out" }, "target": { - "block": "33767a27-93f5-4004-b700-1b3e8eef2e67", - "port": "cce03209-9887-481c-ac2b-5da9f9bc2d66" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "f5a17d5b-bfff-4723-8b19-e2d8f3e41b32", - "port": "01aaff81-deba-4de7-b612-2fd07d6ba191" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "b101c6bb-0699-447a-9c6f-e0caa7726fdb", + "block": "4ca7b72f-724d-435c-8490-645c338586db", "port": "in" }, - "size": 12 + "size": 16 }, { "source": { - "block": "e92a60bf-869a-483a-a51e-bea2768ac7c0", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "f8ebe7cf-f1f9-46ac-baea-b79fb433c1f4", - "port": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70" + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" }, - "size": 24 + "size": 8 } ] } } }, - "832c8ef4212d370059da69837d54be8864cbca2b": { + "306ca367fbbc5181b3c709d73f447e0710871a1d": { "package": { - "name": "Extract-1-bit", + "name": "Bus16-Split-half", "version": "0.1", - "description": "Extract 1 bit from a 24-bits bus", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "352fe87a-e310-4225-9c82-86adf05aade8", + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "type": "basic.output", "data": { - "name": "" + "name": "1", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 968, - "y": 296 + "x": 608, + "y": 176 } }, { - "id": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", "type": "basic.input", "data": { "name": "", - "range": "[23:0]", - "pins": [ - { - "index": "23", - "name": "", - "value": "" - }, - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "range": "[15:0]", + "clock": false, + "size": 16 }, "position": { - "x": 504, - "y": 296 + "x": 96, + "y": 208 } }, { - "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "type": "basic.constant", + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", "data": { - "name": "", - "value": "0", - "local": false + "name": "0", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 744, - "y": 184 + "x": 608, + "y": 272 } }, { - "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "assign o = i[BIT];", - "params": [ - { - "name": "BIT" - } - ], + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], "ports": { "in": [ { "name": "i", - "range": "[23:0]", - "size": 24 + "range": "[15:0]", + "size": 16 } ], "out": [ { - "name": "o" + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 672, - "y": 296 + "x": 272, + "y": 176 }, "size": { - "width": 232, - "height": 64 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", - "port": "constant-out" + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "BIT" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 16 }, { "source": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "o" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", "port": "in" - } + }, + "size": 8 }, { "source": { - "block": "99302ba5-6d2c-4c5a-bf26-b6646c7aad70", - "port": "out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "i" + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" }, - "size": 24 + "size": 8 } ] } } }, - "c117b63724ee3d4a37266b1fb1c97f32b0f91305": { + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { "package": { - "name": "23-bits-shift-register-left", + "name": "Bus32-Join-8-24", "version": "0.1", - "description": "23 bits shift register (left)", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22347.269%22%20viewBox=%220%200%20137.38127%2091.881653%22%3E%3Cdefs%3E%3Cmarker%20orient=%22auto%22%20id=%22a%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cpath%20d=%22M41.506%2057.974l13.608%2016.672-6.918-20.535%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2049.91L24.89%2030.296l-6.45%203.724-2.07-3.584%2020.905-12.069%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2062.842s-2.849-3.696-2.16-6.796c.687-3.1%203.265-6.136%203.265-6.136z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-4.843)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2057.974l13.607%2016.671-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2049.91l-9.232-19.613-6.451%203.724-2.069-3.584%2020.905-12.069%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2062.842s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2057.974l13.608%2016.671-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2049.91l-9.232-19.613-6.45%203.724-2.07-3.584%2020.905-12.069%202.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772l-23.689%2013.638s-2.848-3.696-2.16-6.796c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cpath%20d=%22M197.304%20102.342H88.824%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.646%22%20marker-end=%22url(#a)%22%20transform=%22translate(-69.649%20-95.494)%22/%3E%3C/svg%3E", - "otid": 1588522734419 + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "07894df1-fc7e-49b9-bb99-fa49ed83929e", - "type": "basic.input", - "data": { - "name": "", - "clock": true - }, - "position": { - "x": 136, - "y": 32 - } - }, - { - "id": "5c917f6a-e3df-4199-a704-534f1f97bab8", - "type": "basic.inputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true - }, - "position": { - "x": 280, - "y": 32 - } - }, - { - "id": "ed71c26c-5a49-43ba-933c-a3db4ed1b90f", - "type": "basic.outputLabel", - "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" - }, - "position": { - "x": 200, - "y": 184 - } - }, - { - "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "type": "basic.output", - "data": { - "name": "MSB", - "virtual": false - }, - "position": { - "x": 800, - "y": 200 - } - }, - { - "id": "0260d9ea-0c59-4741-a37f-318b83113261", + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", "type": "basic.input", "data": { - "name": "lsb", + "name": "1", + "range": "[7:0]", "clock": false, - "virtual": true + "size": 8 }, "position": { - "x": 200, - "y": 280 + "x": 120, + "y": 104 } }, { - "id": "367ee5f5-83e8-466f-8698-28455552ff71", + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", "type": "basic.output", "data": { "name": "", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "range": "[31:0]", + "size": 32 }, "position": { - "x": 808, - "y": 320 + "x": 648, + "y": 200 } }, { - "id": "d87dc0ca-b86d-4e51-a133-d49b2d371b94", + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", "type": "basic.input", "data": { - "name": "shift", + "name": "0", + "range": "[23:0]", "clock": false, - "virtual": true - }, - "position": { - "x": 200, - "y": 336 - } - }, - { - "id": "f21edaa1-e1b0-4659-885e-706cbc97921b", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "size": 24 }, "position": { - "x": 488, - "y": 112 + "x": 120, + "y": 224 } }, { - "id": "e04b9910-f015-41c6-9c66-1bd014dd0e01", + "id": "16e78204-213e-4833-9096-89d735307ec2", "type": "basic.code", "data": { - "code": "reg [22:0] q = INI;\n\nalways @(posedge clk) begin\n if (shift) begin\n q <= {q[21:0], lsb};\n end\nend\n\nassign MSB = q[22];", - "params": [ - { - "name": "INI" - } - ], + "code": "assign o = {i1, i0};\n", + "params": [], "ports": { "in": [ { - "name": "clk" - }, - { - "name": "lsb" + "name": "i1", + "range": "[7:0]", + "size": 8 }, { - "name": "shift" + "name": "i0", + "range": "[23:0]", + "size": 24 } ], "out": [ { - "name": "MSB" - }, - { - "name": "q", - "range": "[22:0]", - "size": 23 + "name": "o", + "range": "[31:0]", + "size": 32 } ] } }, "position": { - "x": 368, - "y": 216 + "x": 296, + "y": 176 }, "size": { - "width": 336, - "height": 184 + "width": 272, + "height": 104 } } ], "wires": [ { "source": { - "block": "07894df1-fc7e-49b9-bb99-fa49ed83929e", + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", "port": "out" }, "target": { - "block": "5c917f6a-e3df-4199-a704-534f1f97bab8", - "port": "inlabel" - }, - "vertices": [] - }, - { - "source": { - "block": "ed71c26c-5a49-43ba-933c-a3db4ed1b90f", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "clk" - } + "size": 24 }, { "source": { - "block": "f21edaa1-e1b0-4659-885e-706cbc97921b", - "port": "constant-out" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "INI" - } - }, - { - "source": { - "block": "0260d9ea-0c59-4741-a37f-318b83113261", - "port": "out" + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" }, - "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "lsb" - } + "size": 32 }, { "source": { - "block": "d87dc0ca-b86d-4e51-a133-d49b2d371b94", + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", "port": "out" }, "target": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "shift" - } - }, - { - "source": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "q" - }, - "target": { - "block": "367ee5f5-83e8-466f-8698-28455552ff71", - "port": "in" - }, - "size": 23 - }, - { - "source": { - "block": "e04b9910-f015-41c6-9c66-1bd014dd0e01", - "port": "MSB" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, - "target": { - "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", - "port": "in" - } + "vertices": [ + { + "x": 232, + "y": 176 + } + ], + "size": 8 } ] } } }, - "21b6ebbd16b4fdf81e8419d6fbe185a59bc530e6": { + "a63735be57457fe4a3aad098b06ba4a251615267": { "package": { - "name": "Extract-1-bit", - "version": "0.1", - "description": "Extract 1 bit from a 23-bits bus", + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22353.457%22%20height=%22100.745%22%20viewBox=%220%200%2093.518822%2026.655436%22%3E%3Cg%20transform=%22translate(-44.148%20-124.493)%22%3E%3Crect%20width=%2272.704%22%20height=%227.314%22%20x=%22-117.116%22%20y=%22141.954%22%20ry=%220%22%20transform=%22scale(-1%201)%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-width=%22.529%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22bevel%22/%3E%3Ccircle%20cx=%2286.693%22%20cy=%22145.668%22%20r=%225.481%22/%3E%3Cpath%20d=%22M86.859%20143.543v-18.52h50.27%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.058%22%20stroke-linecap=%22round%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 }, "design": { "graph": { "blocks": [ { - "id": "352fe87a-e310-4225-9c82-86adf05aade8", + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "type": "basic.input", + "data": { + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -96, + "y": 184 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 968, - "y": 296 + "x": 392, + "y": 224 } }, { - "id": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", "type": "basic.input", "data": { - "name": "", - "range": "[22:0]", - "pins": [ - { - "index": "22", - "name": "", - "value": "" - }, - { - "index": "21", - "name": "", - "value": "" - }, - { - "index": "20", - "name": "", - "value": "" - }, - { - "index": "19", - "name": "", - "value": "" - }, - { - "index": "18", - "name": "", - "value": "" - }, - { - "index": "17", - "name": "", - "value": "" - }, - { - "index": "16", - "name": "", - "value": "" - }, - { - "index": "15", - "name": "", - "value": "" - }, - { - "index": "14", - "name": "", - "value": "" - }, - { - "index": "13", - "name": "", - "value": "" - }, - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -96, + "y": 256 + } + }, + { + "id": "c9b95091-7c99-448b-9934-18e096717eeb", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "a", + "range": "[31:0]", + "size": 32 + }, + { + "name": "b", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "ge" + } + ] + }, + "params": [], + "code": "assign ge = (a >= b);" + }, + "position": { + "x": 88, + "y": 184 + }, + "size": { + "width": 240, + "height": 136 + } + } + ], + "wires": [ + { + "source": { + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" + }, + "size": 32 + }, + { + "source": { + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" + }, + "target": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 + }, + { + "source": { + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { + "package": { + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 560, + "y": 64 + } + }, + { + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 72 + } + }, + { + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 144 + } + }, + { + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "type": "basic.input", + "data": { + "name": "rst", "clock": false }, "position": { - "x": 512, - "y": 296 + "x": 560, + "y": 168 } }, { - "id": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": 224 + } + }, + { + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 560, + "y": 272 + } + }, + { + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 560, + "y": 376 + } + }, + { + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 376 + } + }, + { + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", "type": "basic.constant", "data": { "name": "", @@ -13212,186 +22709,283 @@ "local": false }, "position": { - "x": 744, - "y": 184 + "x": 864, + "y": -80 } }, { - "id": "15921a47-9c6e-411a-95a4-31330b104b72", + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "type": "basic.code", "data": { - "code": "assign o = i[BIT];", - "params": [ - { - "name": "BIT" - } - ], "ports": { "in": [ { - "name": "i", - "range": "[22:0]", - "size": 23 + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" } ], "out": [ { - "name": "o" + "name": "q", + "range": "[31:0]", + "size": 32 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 672, - "y": 296 + "x": 720, + "y": 40 }, "size": { - "width": 232, - "height": 64 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "cce03209-9887-481c-ac2b-5da9f9bc2d66", + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", "port": "constant-out" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "BIT" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" }, "vertices": [] }, { "source": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "o" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" }, "target": { - "block": "352fe87a-e310-4225-9c82-86adf05aade8", + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" } }, { "source": { - "block": "fbb7417a-5326-4b54-a511-d44d30625d6d", + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "port": "out" }, "target": { - "block": "15921a47-9c6e-411a-95a4-31330b104b72", - "port": "i" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, - "size": 23 + "size": 32 + }, + { + "source": { + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" + }, + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" + } } ] } } }, - "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { "package": { - "name": "XOR", - "version": "1.0.1", - "description": "Puerta XOR", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "type": "basic.input", "data": { - "name": "" + "name": "", + "clock": true }, "position": { - "x": 64, - "y": 88 + "x": 240, + "y": 0 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 784, - "y": 152 + "x": 832, + "y": 120 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "type": "basic.input", "data": { - "name": "" + "name": "set", + "clock": false }, "position": { - "x": 64, - "y": 224 + "x": 232, + "y": 120 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 232, + "y": 240 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 536, + "y": -144 + } + }, + { + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", "type": "basic.code", "data": { - "code": "//-- Puerta XOR\n\n//-- module xor (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a ^ b;\n\n//-- endmodule", - "params": [], "ports": { "in": [ { - "name": "a" + "name": "clk" }, { - "name": "b" + "name": "set" + }, + { + "name": "reset" } ], "out": [ { - "name": "c" + "name": "q" } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 256, - "y": 48 + "x": 400, + "y": -32 }, "size": { - "width": 464, - "height": 272 + "width": 360, + "height": 360 } } ], "wires": [ { "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "port": "out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" } }, { "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" }, "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" } }, { "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" }, "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "port": "in" } } @@ -13399,268 +22993,224 @@ } } }, - "7263b9b45cf45542cb1e2badb21718e15c151ca5": { + "12181aafa567423f27b91da184acb1c9a94045c5": { "package": { - "name": "Agregador-bus", - "version": "0.1", - "description": "Agregador de 8 cables de 1-bit a bus de 8-bits", + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 }, "design": { "graph": { "blocks": [ { - "id": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", "type": "basic.input", "data": { - "name": "i7", - "clock": false + "name": "", + "clock": true }, "position": { - "x": 88, - "y": 160 + "x": 296, + "y": -48 } }, { - "id": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", - "type": "basic.input", + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", "data": { - "name": "i6", - "clock": false + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": -16, - "y": 192 + "x": 448, + "y": -48 } }, { - "id": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "type": "basic.input", + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", "data": { - "name": "i5", - "clock": false + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 88, - "y": 232 + "x": 344, + "y": 120 } }, { - "id": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "type": "basic.input", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "i4", - "clock": false + "name": "" }, "position": { - "x": -16, - "y": 264 + "x": 656, + "y": 216 } }, { - "id": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", - "type": "basic.output", + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "type": "basic.constant", "data": { - "name": "o", - "range": "[7:0]", - "size": 8 + "name": "", + "value": "1", + "local": true }, "position": { - "x": 824, - "y": 280 + "x": 488, + "y": 104 } }, { - "id": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "type": "basic.input", + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", "data": { - "name": "i3", - "clock": false + "info": "System clock", + "readonly": true }, "position": { - "x": 96, - "y": 296 + "x": 304, + "y": -80 + }, + "size": { + "width": 120, + "height": 40 } }, { - "id": "42733799-2dd4-429b-bd43-a478067a10a6", - "type": "basic.input", - "data": { - "name": "i2", - "clock": false - }, + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", "position": { - "x": -8, - "y": 328 + "x": 216, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 } }, { - "id": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "type": "basic.input", + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", + "type": "basic.info", "data": { - "name": "i1", - "clock": false + "info": "Initial value: 1", + "readonly": true }, "position": { - "x": 96, - "y": 368 + "x": 504, + "y": 280 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "type": "basic.input", + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", + "type": "basic.info", "data": { - "name": "i0", - "clock": false + "info": "Initial value: 0", + "readonly": true }, "position": { - "x": -8, - "y": 400 + "x": 216, + "y": 288 + }, + "size": { + "width": 152, + "height": 40 } }, { - "id": "16e78204-213e-4833-9096-89d735307ec2", - "type": "basic.code", + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", + "type": "basic.info", "data": { - "code": "assign o = {i7, i6, i5, i4, i3, i2, i1, i0};\n", - "params": [], - "ports": { - "in": [ - { - "name": "i7" - }, - { - "name": "i6" - }, - { - "name": "i5" - }, - { - "name": "i4" - }, - { - "name": "i3" - }, - { - "name": "i2" - }, - { - "name": "i1" - }, - { - "name": "i0" - } - ], - "out": [ - { - "name": "o", - "range": "[7:0]", - "size": 8 - } - ] - } + "info": "Falling edge", + "readonly": true }, "position": { - "x": 296, - "y": 176 + "x": 368, + "y": 264 }, "size": { - "width": 432, - "height": 272 + "width": 136, + "height": 40 } - } - ], - "wires": [ - { - "source": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "o" - }, - "target": { - "block": "0eaf305e-e0d9-4382-9f75-39e9d87675a9", - "port": "in" - }, - "size": 8 }, { - "source": { - "block": "aa0ed4ce-345d-41e2-b4c2-2e3a183635fa", - "port": "out" + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 488, + "y": 200 }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i7" + "size": { + "width": 96, + "height": 64 } - }, + } + ], + "wires": [ { "source": { - "block": "19d35a89-e36b-47cf-bef9-ef17aa6c3b1b", + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", "port": "out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i6" - } - }, - { - "source": { - "block": "9be0c95b-b44b-4eec-9132-d3775d8e9897", - "port": "out" + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i5" - } + "vertices": [] }, { "source": { - "block": "418a5fcd-2cf4-4528-8f9b-507acac6f3ee", - "port": "out" + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i4" - } - }, - { - "source": { - "block": "d2b89642-2cf6-4d14-9215-4eb774c9853d", - "port": "out" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" }, - "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i3" - } + "vertices": [ + { + "x": 456, + "y": 184 + } + ] }, { "source": { - "block": "42733799-2dd4-429b-bd43-a478067a10a6", - "port": "out" + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i2" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "7298de85-12b9-4ba6-a305-b9a2ea5a0eba", - "port": "out" + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i1" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" } }, { "source": { - "block": "4f112367-2a70-4bac-acdc-8e3ad3f42257", - "port": "out" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "16e78204-213e-4833-9096-89d735307ec2", - "port": "i0" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } } ] diff --git a/examples/06-playing-samples-hola.ice b/examples/06-playing-samples-hola.ice index 368dcb8..cb64ed5 100644 --- a/examples/06-playing-samples-hola.ice +++ b/examples/06-playing-samples-hola.ice @@ -111,30 +111,6 @@ "height": 48 } }, - { - "id": "7b174024-6242-4635-90a0-1bc290868aa3", - "type": "b66738d5acb7ba7ee9a04796c0746031c6227fbf", - "position": { - "x": 2064, - "y": 368 - }, - "size": { - "width": 96, - "height": 64 - } - }, - { - "id": "e458c10e-f174-42e3-8b45-c2c6e3af92c8", - "type": "4aa1ce94829ea449e010c5d07f4caf33eabfdda9", - "position": { - "x": 1552, - "y": 408 - }, - "size": { - "width": 96, - "height": 96 - } - }, { "id": "ce4613aa-2737-452f-b4a8-340fa0c795c0", "type": "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6", @@ -147,30 +123,6 @@ "height": 64 } }, - { - "id": "0785c60f-3ed8-44fa-852f-7d15794adf8d", - "type": "b959c256104d1064a5ef7b38632ffb6eed3b396f", - "position": { - "x": 1192, - "y": 616 - }, - "size": { - "width": 96, - "height": 96 - } - }, - { - "id": "2ed4b7d5-9e6b-4aae-a1ed-873405d099f9", - "type": "78883534cc395de33e147afa9e840cd132d67e21", - "position": { - "x": 1776, - "y": 384 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "0a1b2fcb-a157-4161-b370-8f6ed36ea7dd", "type": "basic.info", @@ -179,26 +131,14 @@ "readonly": true }, "position": { - "x": 1720, - "y": 256 + "x": 1712, + "y": 232 }, "size": { "width": 296, "height": 64 } }, - { - "id": "5f7040cd-183f-433a-ba8c-f5d3d5cb20b8", - "type": "ebfed3354d2f5627e64d28b4775730fcca4711fe", - "position": { - "x": 1208, - "y": 440 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "9f43b48f-c960-4c7f-98d8-3092960bb8eb", "type": "basic.info", @@ -291,18 +231,6 @@ "height": 72 } }, - { - "id": "13528c2a-360a-4efc-b3dc-083101ac0050", - "type": "2107ac7691a91a762c2d0be100faaabd6189973a", - "position": { - "x": 1008, - "y": 616 - }, - "size": { - "width": 96, - "height": 64 - } - }, { "id": "ee140215-0276-4e02-94e3-5811feb69613", "type": "basic.info", @@ -334,12 +262,84 @@ "width": 392, "height": 104 } + }, + { + "id": "b8818f8f-ffb3-40e8-a77d-1d380ed243c3", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 1192, + "y": 616 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "9312ee2d-66f1-41fa-b6de-d82ce87ac540", + "type": "5018a67fe520592d7f82dbf669bb3c3661624b65", + "position": { + "x": 1008, + "y": 616 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fb6db9e3-472a-4337-9d23-9591601f5e9d", + "type": "1f0e886090356abdf5937c0bfd95bf482695a204", + "position": { + "x": 1184, + "y": 440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e632e14c-4278-4318-9728-a01a7ad4e55b", + "type": "4aa1ce94829ea449e010c5d07f4caf33eabfdda9", + "position": { + "x": 1552, + "y": 408 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b581b1fe-350d-45db-aa9a-ab2b1dc2b6f8", + "type": "03e6e4fa57666c3fdc325e3e8e1ea9656884e327", + "position": { + "x": 2064, + "y": 368 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1b5ea6d9-f847-4162-b0c7-e7a3ebe52c34", + "type": "7a42b1821b732f0853f67c089664292ea1768453", + "position": { + "x": 1776, + "y": 384 + }, + "size": { + "width": 96, + "height": 64 + } } ], "wires": [ { "source": { - "block": "7b174024-6242-4635-90a0-1bc290868aa3", + "block": "b581b1fe-350d-45db-aa9a-ab2b1dc2b6f8", "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" }, "target": { @@ -349,7 +349,7 @@ }, { "source": { - "block": "7b174024-6242-4635-90a0-1bc290868aa3", + "block": "b581b1fe-350d-45db-aa9a-ab2b1dc2b6f8", "port": "97265910-fa2f-4505-bdc3-7aa7c75f89d6" }, "target": { @@ -359,22 +359,22 @@ }, { "source": { - "block": "2ed4b7d5-9e6b-4aae-a1ed-873405d099f9", + "block": "1b5ea6d9-f847-4162-b0c7-e7a3ebe52c34", "port": "c03fd679-daca-495e-9b11-03779b260f54" }, "target": { - "block": "7b174024-6242-4635-90a0-1bc290868aa3", + "block": "b581b1fe-350d-45db-aa9a-ab2b1dc2b6f8", "port": "b6fae20e-71c2-43a3-a076-42a82e71e432" }, "size": 8 }, { "source": { - "block": "e458c10e-f174-42e3-8b45-c2c6e3af92c8", + "block": "e632e14c-4278-4318-9728-a01a7ad4e55b", "port": "ebf73a60-024f-4380-8217-7a73209bbf69" }, "target": { - "block": "2ed4b7d5-9e6b-4aae-a1ed-873405d099f9", + "block": "1b5ea6d9-f847-4162-b0c7-e7a3ebe52c34", "port": "29c933b0-6dd4-4ada-bd69-57faffad57fa" }, "size": 13 @@ -385,7 +385,7 @@ "port": "constant-out" }, "target": { - "block": "2ed4b7d5-9e6b-4aae-a1ed-873405d099f9", + "block": "1b5ea6d9-f847-4162-b0c7-e7a3ebe52c34", "port": "d80bfd80-1f6d-46af-b5de-5cd121ebe630" } }, @@ -395,14 +395,14 @@ "port": "664caf9e-5f40-4df4-800a-b626af702e62" }, "target": { - "block": "e458c10e-f174-42e3-8b45-c2c6e3af92c8", + "block": "e632e14c-4278-4318-9728-a01a7ad4e55b", "port": "26aba23f-8567-4e9b-bd45-c26724030f33" } }, { "source": { - "block": "0785c60f-3ed8-44fa-852f-7d15794adf8d", - "port": "1cb167a4-9e2a-416b-803e-da7b6151eaa5" + "block": "b8818f8f-ffb3-40e8-a77d-1d380ed243c3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { "block": "ce4613aa-2737-452f-b4a8-340fa0c795c0", @@ -411,34 +411,8 @@ }, { "source": { - "block": "e458c10e-f174-42e3-8b45-c2c6e3af92c8", - "port": "cc17ff4d-1c27-4dc3-a14c-da730d54750e" - }, - "target": { - "block": "0785c60f-3ed8-44fa-852f-7d15794adf8d", - "port": "86eb8c81-17fc-4371-bd21-51f429191f3c" - }, - "vertices": [ - { - "x": 1656, - "y": 736 - } - ] - }, - { - "source": { - "block": "fc4f5cba-ceed-4c82-b52e-7d66e62c4883", - "port": "constant-out" - }, - "target": { - "block": "5f7040cd-183f-433a-ba8c-f5d3d5cb20b8", - "port": "136e8d6d-892a-4f14-8d6d-0c5bc6c3e844" - } - }, - { - "source": { - "block": "5f7040cd-183f-433a-ba8c-f5d3d5cb20b8", - "port": "c138a610-b61f-4e7c-bb8a-c4f3b0b9f95c" + "block": "fb6db9e3-472a-4337-9d23-9591601f5e9d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" }, "target": { "block": "ce4613aa-2737-452f-b4a8-340fa0c795c0", @@ -451,7 +425,7 @@ "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "e458c10e-f174-42e3-8b45-c2c6e3af92c8", + "block": "e632e14c-4278-4318-9728-a01a7ad4e55b", "port": "743b5299-2d89-4783-b7c9-12a5b36df406" }, "vertices": [ @@ -463,12 +437,28 @@ }, { "source": { - "block": "13528c2a-360a-4efc-b3dc-083101ac0050", + "block": "e632e14c-4278-4318-9728-a01a7ad4e55b", + "port": "cc17ff4d-1c27-4dc3-a14c-da730d54750e" + }, + "target": { + "block": "b8818f8f-ffb3-40e8-a77d-1d380ed243c3", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + }, + "vertices": [ + { + "x": 1624, + "y": 784 + } + ] + }, + { + "source": { + "block": "9312ee2d-66f1-41fa-b6de-d82ce87ac540", "port": "997db8c4-b772-49d8-83e7-4427aff720e6" }, "target": { - "block": "0785c60f-3ed8-44fa-852f-7d15794adf8d", - "port": "3ae2d46d-7981-497a-899f-b60bfae0f43e" + "block": "b8818f8f-ffb3-40e8-a77d-1d380ed243c3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" } }, { @@ -477,1078 +467,13756 @@ "port": "out" }, "target": { - "block": "13528c2a-360a-4efc-b3dc-083101ac0050", + "block": "9312ee2d-66f1-41fa-b6de-d82ce87ac540", "port": "21bc142d-a93a-430d-b37a-326435def9f9" } + }, + { + "source": { + "block": "fc4f5cba-ceed-4c82-b52e-7d66e62c4883", + "port": "constant-out" + }, + "target": { + "block": "fb6db9e3-472a-4337-9d23-9591601f5e9d", + "port": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10" + } } ] } }, "dependencies": { - "b66738d5acb7ba7ee9a04796c0746031c6227fbf": { + "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { "package": { - "name": "8-bits-data-PDM-1bit-DAC", - "version": "0.1", - "description": "Pulse-density modulated 1-bit DAC. Input: 8-bit samples", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", - "otid": 1588403710364 + "name": "AND", + "version": "1.0.1", + "description": "Puerta AND", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "" }, "position": { - "x": -8, - "y": 64 + "x": 64, + "y": 88 } }, { - "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "type": "basic.inputLabel", + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", "data": { - "blockColor": "yellow", - "name": "clk", - "pins": [ - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true + "name": "" }, "position": { - "x": 136, - "y": 64 + "x": 784, + "y": 152 } }, { - "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "type": "basic.outputLabel", + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "name": "" }, "position": { - "x": 760, - "y": 168 + "x": 64, + "y": 224 } }, { - "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "type": "basic.outputLabel", + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", "data": { - "blockColor": "yellow", - "name": "clk", - "oldBlockColor": "yellow" + "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } }, "position": { - "x": 128, - "y": 208 - } - }, - { - "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "type": "basic.output", - "data": { - "name": "out" + "x": 256, + "y": 48 }, - "position": { - "x": 1040, - "y": 256 + "size": { + "width": 464, + "height": 272 } - }, + } + ], + "wires": [ { - "id": "522562d6-e08d-4fc4-bd1a-77e36285a950", - "type": "basic.outputLabel", + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { + "package": { + "name": "0", + "version": "0.1", + "description": "Un bit constante a 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", "data": { - "name": "next", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "name": "" }, "position": { - "x": 136, - "y": 312 + "x": 512, + "y": 160 } }, { - "id": "48c8c876-6805-42ad-a076-aaab0c0ab088", - "type": "basic.inputLabel", + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", "data": { - "name": "next", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } }, "position": { - "x": 624, - "y": 360 + "x": 168, + "y": 112 + }, + "size": { + "width": 256, + "height": 160 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "ef27646a2ca3d9f1e7811dac0fd909719b5affe1": { + "package": { + "name": "RS-FF-set-verilog", + "version": "0.2", + "description": "RS-FF-set-verilog. RS Flip-flop with priority set. Implementation in verilog", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 240, + "y": 0 } }, { - "id": "c32e616e-e969-43c5-a253-cdabf0026d8c", - "type": "basic.outputLabel", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", "data": { - "name": "din", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "name": "" }, "position": { - "x": 344, - "y": 392 + "x": 832, + "y": 120 } }, { - "id": "b6fae20e-71c2-43a3-a076-42a82e71e432", + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", "type": "basic.input", "data": { - "name": "din", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, + "name": "set", + "clock": false + }, + "position": { + "x": 232, + "y": 120 + } + }, + { + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 232, + "y": 240 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 536, + "y": -144 + } + }, + { + "id": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "set" + }, + { + "name": "reset" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ { - "index": "0", - "name": "", - "value": "" + "name": "INI" } ], - "virtual": false, + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Priority on set\n //-- It is first checked\n if (set == 1'b1) \n qi <= 1'b1;\n \n //-- Second: check reset\n else if (reset == 1'b1)\n qi <= 1'b0;\n \n //-- In any other case the FF\n //-- remains in its current \n //-- state (no change)\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 400, + "y": -32 + }, + "size": { + "width": 360, + "height": 360 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "set" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "reset" + } + }, + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "clk" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" + }, + "target": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "INI" + } + }, + { + "source": { + "block": "4f7ac391-3547-4bdd-b444-f34f89afeb79", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "5018a67fe520592d7f82dbf669bb3c3661624b65": { + "package": { + "name": "Button-tic", + "version": "0.6", + "description": "Button-tic: Configurable button that emits a tic when it is pressed", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "653b2ced-3f13-4b1d-a2b6-c330c671067a", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": -32 + } + }, + { + "id": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 280, + "y": -32 + } + }, + { + "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 728, + "y": -16 + } + }, + { + "id": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 312, + "y": 104 + } + }, + { + "id": "b8666bca-0d3d-4cbb-8129-fcea674d428a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 744, + "y": 136 + } + }, + { + "id": "997db8c4-b772-49d8-83e7-4427aff720e6", + "type": "basic.output", + "data": { + "name": "Press" + }, + "position": { + "x": 1032, + "y": 184 + } + }, + { + "id": "21bc142d-a93a-430d-b37a-326435def9f9", + "type": "basic.input", + "data": { + "name": "pin", "clock": false }, "position": { - "x": 0, - "y": 408 + "x": 304, + "y": 200 + } + }, + { + "id": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", + "type": "basic.constant", + "data": { + "name": "pup", + "value": "0", + "local": false + }, + "position": { + "x": 448, + "y": 56 + } + }, + { + "id": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 560, + "y": 56 + } + }, + { + "id": "15503ba9-0846-4d76-9fa4-dd8f67da8f08", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 136, + "y": -56 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "8f811ac3-ce9d-4e72-af71-03036c9426e7", + "type": "basic.info", + "data": { + "info": "Button state signal", + "readonly": true + }, + "position": { + "x": 720, + "y": -32 + }, + "size": { + "width": 176, + "height": 40 + } + }, + { + "id": "dee7b550-b7f2-40d7-955d-bfb931daba22", + "type": "basic.info", + "data": { + "info": "Tic: button pressed", + "readonly": true + }, + "position": { + "x": 1024, + "y": 152 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "88b26983-667f-40de-b1c6-c6710a7bbd4a", + "type": "basic.info", + "data": { + "info": "Rising edge detector", + "readonly": true + }, + "position": { + "x": 872, + "y": 248 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "0957ba76-2838-49cb-8fd4-b9fe42f21801", + "type": "basic.info", + "data": { + "info": "Pull up on/off", + "readonly": true + }, + "position": { + "x": 448, + "y": 16 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "5a5caef3-ffd0-46a4-9f63-e55aa8cd750d", + "type": "basic.info", + "data": { + "info": "Not on/off", + "readonly": true + }, + "position": { + "x": 576, + "y": 16 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "541797cf-83f6-45cf-9a33-0009bb231e47", + "type": "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e", + "position": { + "x": 888, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "type": "091b3514573becaa7196cc3f7854140e5773864d", + "position": { + "x": 496, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "653b2ced-3f13-4b1d-a2b6-c330c671067a", + "port": "out" + }, + "target": { + "block": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", + "port": "outlabel" + }, + "target": { + "block": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" + }, + "vertices": [ + { + "x": 424, + "y": 160 + } + ] + }, + { + "source": { + "block": "b8666bca-0d3d-4cbb-8129-fcea674d428a", + "port": "outlabel" + }, + "target": { + "block": "541797cf-83f6-45cf-9a33-0009bb231e47", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "21bc142d-a93a-430d-b37a-326435def9f9", + "port": "out" + }, + "target": { + "block": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", + "port": "in" + } + }, + { + "source": { + "block": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "541797cf-83f6-45cf-9a33-0009bb231e47", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + }, + "vertices": [] + }, + { + "source": { + "block": "541797cf-83f6-45cf-9a33-0009bb231e47", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "997db8c4-b772-49d8-83e7-4427aff720e6", + "port": "in" + } + }, + { + "source": { + "block": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", + "port": "constant-out" + }, + "target": { + "block": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" + } + }, + { + "source": { + "block": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", + "port": "constant-out" + }, + "target": { + "block": "eed1d43a-6faf-4ca5-b3b8-f1e071234e0f", + "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" + } + } + ] + } + } + }, + "ae13be3e513e7cb48d47d3fb3aa840dd85dc1f5e": { + "package": { + "name": "Rising-edge-detector", + "version": "0.4", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9215ae7b-9960-4c8e-b80c-4d636db8510d", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 840, + "y": 160 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 152, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 840, + "y": 400 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 176, + "y": -16 + }, + "size": { + "width": 568, + "height": 80 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 328, + "y": 456 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 + } + }, + { + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, + "position": { + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 + } + }, + { + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 280 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "4f9cbe33-5c5a-43fb-bb20-863555cd0c64", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + } + ] + } + } + }, + "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "package": { + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 72 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 600, + "y": 96 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284": { + "package": { + "name": "sys-DFF-verilog", + "version": "3", + "description": "System - D Flip-flop. Capture data every system clock cycle. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "54dbabeb-8aef-4184-8fdc-87528aca29a3", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 816, + "y": 112 + } + }, + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": 184 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 232 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 280 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg qi = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n qi <= d;\n \n//-- Connect the register with the\n//-- output\nassign q = qi;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 352, + "height": 192 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 32 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 160 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 256 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop (system)\n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + }, + { + "id": "92bfbcf5-6016-4ad8-963c-c5c7747304d0", + "type": "basic.info", + "data": { + "info": "Not connected", + "readonly": true + }, + "position": { + "x": 808, + "y": 88 + }, + "size": { + "width": 176, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "091b3514573becaa7196cc3f7854140e5773864d": { + "package": { + "name": "Button", + "version": "0.5", + "description": "Configurable button (pull-up on/off. Not on/off)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", + "otid": 1615538095529 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": 232 + } + }, + { + "id": "c2136078-81d0-4137-8583-c122b93cbdb0", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 280, + "y": 232 + } + }, + { + "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 472, + "y": 368 + } + }, + { + "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 888, + "y": 384 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 1200, + "y": 456 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 152, + "y": 472 + } + }, + { + "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "type": "basic.constant", + "data": { + "name": "pup", + "value": "0", + "local": false + }, + "position": { + "x": 336, + "y": 360 + } + }, + { + "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 768, + "y": 368 + } + }, + { + "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", + "position": { + "x": 336, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", + "type": "basic.info", + "data": { + "info": "Internal pull-up \n* 0: OFF\n* 1: ON", + "readonly": true + }, + "position": { + "x": 320, + "y": 560 + }, + "size": { + "width": 176, + "height": 72 + } + }, + { + "id": "2349cf1c-768c-483c-bdf3-852e36755326", + "type": "basic.info", + "data": { + "info": "Synchronization stage", + "readonly": true + }, + "position": { + "x": 552, + "y": 536 + }, + "size": { + "width": 184, + "height": 32 + } + }, + { + "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", + "type": "basic.info", + "data": { + "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", + "readonly": true + }, + "position": { + "x": 760, + "y": 560 + }, + "size": { + "width": 192, + "height": 88 + } + }, + { + "id": "9207da36-adfa-43d6-a633-ccaa601b9293", + "type": "basic.info", + "data": { + "info": "Debouncing stage", + "readonly": true + }, + "position": { + "x": 1016, + "y": 544 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "dec4bfde-1bd9-47b4-9e19-768969a2abc4", + "type": "68f4069229b120ada15cf7106fca630bde40ff7e", + "position": { + "x": 768, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7f8e8a9e-3757-4b55-baa0-cb8680c3a332", + "type": "dd36dc1269be1a36894b4c4dfd8b2bd2c9026fe6", + "position": { + "x": 1032, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "8d23de75-ac27-4a3b-911c-6a237f0dc702", + "type": "0705a6a13435ea79daf5779a2a0f076bd71f0a14", + "position": { + "x": 600, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "port": "out" + }, + "target": { + "block": "c2136078-81d0-4137-8583-c122b93cbdb0", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "port": "outlabel" + }, + "target": { + "block": "8d23de75-ac27-4a3b-911c-6a237f0dc702", + "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" + } + }, + { + "source": { + "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", + "port": "outlabel" + }, + "target": { + "block": "7f8e8a9e-3757-4b55-baa0-cb8680c3a332", + "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" + } + }, + { + "source": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" + }, + "target": { + "block": "8d23de75-ac27-4a3b-911c-6a237f0dc702", + "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" + }, + "vertices": [] + }, + { + "source": { + "block": "8d23de75-ac27-4a3b-911c-6a237f0dc702", + "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" + }, + "target": { + "block": "dec4bfde-1bd9-47b4-9e19-768969a2abc4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "port": "constant-out" + }, + "target": { + "block": "dec4bfde-1bd9-47b4-9e19-768969a2abc4", + "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" + }, + "vertices": [] + }, + { + "source": { + "block": "dec4bfde-1bd9-47b4-9e19-768969a2abc4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7f8e8a9e-3757-4b55-baa0-cb8680c3a332", + "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" + }, + "vertices": [] + }, + { + "source": { + "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "port": "constant-out" + }, + "target": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" + } + }, + { + "source": { + "block": "7f8e8a9e-3757-4b55-baa0-cb8680c3a332", + "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" + } + } + ] + } + } + }, + "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { + "package": { + "name": "Pull-upx1", + "version": "1.0.2", + "description": "FPGA internal pull-up configuration on the input port", + "author": "Juan González", + "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 72, + "y": 256 + } + }, + { + "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 704, + "y": 256 + } + }, + { + "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", + "type": "basic.constant", + "data": { + "name": "on", + "value": "1", + "local": false + }, + "position": { + "x": 408, + "y": -8 + } + }, + { + "id": "2b245a71-2d80-466b-955f-e3d61839fe25", + "type": "basic.code", + "data": { + "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", + "params": [ + { + "name": "ON" + } + ], + "ports": { + "in": [ + { + "name": "i" + } + ], + "out": [ + { + "name": "o" + } + ] + } + }, + "position": { + "x": 256, + "y": 104 + }, + "size": { + "width": 392, + "height": 368 + } + }, + { + "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", + "type": "basic.info", + "data": { + "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", + "readonly": true + }, + "position": { + "x": 144, + "y": -48 + }, + "size": { + "width": 264, + "height": 104 + } + }, + { + "id": "5a96e53f-d2ff-4058-bbed-779876848487", + "type": "basic.info", + "data": { + "info": "Only an FPGA pin can \nbe connected here!!!", + "readonly": true + }, + "position": { + "x": 56, + "y": 200 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", + "type": "basic.info", + "data": { + "info": "The pull-up is connected \nby default", + "readonly": true + }, + "position": { + "x": 512, + "y": 0 + }, + "size": { + "width": 208, + "height": 56 + } + } + ], + "wires": [ + { + "source": { + "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", + "port": "out" + }, + "target": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "i" + } + }, + { + "source": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "o" + }, + "target": { + "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", + "port": "in" + } + }, + { + "source": { + "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", + "port": "constant-out" + }, + "target": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "ON" + } + } + ] + } + } + }, + "68f4069229b120ada15cf7106fca630bde40ff7e": { + "package": { + "name": "not-wire-x01", + "version": "0.2", + "description": "Select positive or negative logic for the input (0=positive, 1=negative)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1607779171609 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 824, + "y": 304 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 376, + "y": 320 + } + }, + { + "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 520, + "y": 160 + } + }, + { + "id": "160f76e9-4d8d-424e-8689-bb890101823c", + "type": "3ba5d0ecbd8f55582a6307158732789df06cb74c", + "position": { + "x": 520, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "019e81db-5707-409c-b159-b4cb29813cc4", + "type": "basic.info", + "data": { + "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", + "readonly": true + }, + "position": { + "x": 632, + "y": 392 + }, + "size": { + "width": 336, + "height": 96 + } + }, + { + "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", + "type": "basic.info", + "data": { + "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", + "readonly": true + }, + "position": { + "x": 728, + "y": 8 + }, + "size": { + "width": 296, + "height": 144 + } + }, + { + "id": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 672, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", + "port": "constant-out" + }, + "target": { + "block": "160f76e9-4d8d-424e-8689-bb890101823c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] + }, + { + "source": { + "block": "160f76e9-4d8d-424e-8689-bb890101823c", + "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" + }, + "target": { + "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "3ba5d0ecbd8f55582a6307158732789df06cb74c": { + "package": { + "name": "Constante-1bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 1 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k" + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "port": "in" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "dd36dc1269be1a36894b4c4dfd8b2bd2c9026fe6": { + "package": { + "name": "Debouncer-x01", + "version": "1.3.0", + "description": "Remove the rebound on a mechanical switch", + "author": "Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -376, + "y": -656 + } + }, + { + "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -224, + "y": -656 + } + }, + { + "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 952, + "y": -600 + } + }, + { + "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "out" + }, + "position": { + "x": 816, + "y": -600 + } + }, + { + "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": -376, + "y": -584 + } + }, + { + "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in" + }, + "position": { + "x": -224, + "y": -584 + } + }, + { + "id": "5d12a177-7618-4517-9067-3012f7cb42ce", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": -440 + } + }, + { + "id": "2f1050dd-a720-4ede-890e-612ce370ba61", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "out", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 840, + "y": -352 + } + }, + { + "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 536, + "y": -352 + } + }, + { + "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -288 + } + }, + { + "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 112, + "y": -248 + } + }, + { + "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 112, + "y": -176 + } + }, + { + "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", + "type": "basic.info", + "data": { + "info": "Edge detector", + "readonly": true + }, + "position": { + "x": 240, + "y": -120 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", + "type": "basic.info", + "data": { + "info": "Whenever there is a change in \nthe input, the counter is started", + "readonly": true + }, + "position": { + "x": 368, + "y": -88 + }, + "size": { + "width": 288, + "height": 56 + } + }, + { + "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", + "type": "basic.info", + "data": { + "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", + "readonly": true + }, + "position": { + "x": 720, + "y": -456 + }, + "size": { + "width": 304, + "height": 72 + } + }, + { + "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", + "type": "basic.info", + "data": { + "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", + "readonly": true + }, + "position": { + "x": 432, + "y": -640 + }, + "size": { + "width": 360, + "height": 120 + } + }, + { + "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", + "type": "basic.info", + "data": { + "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", + "readonly": true + }, + "position": { + "x": -8, + "y": -648 + }, + "size": { + "width": 312, + "height": 128 + } + }, + { + "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", + "type": "basic.info", + "data": { + "info": "Stable output", + "readonly": true + }, + "position": { + "x": 880, + "y": -280 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", + "type": "basic.info", + "data": { + "info": "Counter", + "readonly": true + }, + "position": { + "x": 536, + "y": -232 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "a409a5ab-68e2-4e36-b698-f6c309afdd54", + "type": "1ed41a9f9beacd7b446f07558a35d3b77dc87319", + "position": { + "x": 240, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "626e9279-30e7-4e81-8427-1f969a1f7789", + "type": "8a23ffd0491010ff595493182b82c722bd6902d7", + "position": { + "x": 704, + "y": -368 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "3bc0627a-8d4d-4e19-a4aa-83b0dbfa525a", + "type": "e8e3e62a1e1b5f4e98c7e128c7cd1bdf59b8f307", + "position": { + "x": 520, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", + "port": "out" + }, + "target": { + "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", + "port": "inlabel" + } + }, + { + "source": { + "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", + "port": "outlabel" + }, + "target": { + "block": "a409a5ab-68e2-4e36-b698-f6c309afdd54", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "5d12a177-7618-4517-9067-3012f7cb42ce", + "port": "outlabel" + }, + "target": { + "block": "626e9279-30e7-4e81-8427-1f969a1f7789", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", + "port": "outlabel" + }, + "target": { + "block": "3bc0627a-8d4d-4e19-a4aa-83b0dbfa525a", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", + "port": "out" + }, + "target": { + "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", + "port": "inlabel" + } + }, + { + "source": { + "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", + "port": "outlabel" + }, + "target": { + "block": "a409a5ab-68e2-4e36-b698-f6c309afdd54", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + } + }, + { + "source": { + "block": "626e9279-30e7-4e81-8427-1f969a1f7789", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "2f1050dd-a720-4ede-890e-612ce370ba61", + "port": "inlabel" + } + }, + { + "source": { + "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", + "port": "outlabel" + }, + "target": { + "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", + "port": "in" + } + }, + { + "source": { + "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", + "port": "outlabel" + }, + "target": { + "block": "626e9279-30e7-4e81-8427-1f969a1f7789", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "3bc0627a-8d4d-4e19-a4aa-83b0dbfa525a", + "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" + }, + "target": { + "block": "626e9279-30e7-4e81-8427-1f969a1f7789", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + }, + "vertices": [] + }, + { + "source": { + "block": "a409a5ab-68e2-4e36-b698-f6c309afdd54", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "3bc0627a-8d4d-4e19-a4aa-83b0dbfa525a", + "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" + } + } + ] + } + } + }, + "1ed41a9f9beacd7b446f07558a35d3b77dc87319": { + "package": { + "name": "Edges-detector-block", + "version": "0.3", + "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input. Block implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "913b2fb3-8676-42d8-bd97-97880350317d", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 624, + "y": 120 + } + }, + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 88, + "y": 152 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 88, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 616, + "y": 352 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 104, + "y": -40 + }, + "size": { + "width": 648, + "height": 96 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 96, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 104, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 160, + "y": 352 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", + "readonly": true + }, + "position": { + "x": 504, + "y": 264 + }, + "size": { + "width": 400, + "height": 72 + } + }, + { + "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 480, + "y": 352 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", + "type": "basic.info", + "data": { + "info": "In any other case the output is 0", + "readonly": true + }, + "position": { + "x": 520, + "y": 432 + }, + "size": { + "width": 296, + "height": 40 + } + }, + { + "id": "932daa85-b751-4060-a7fa-d517b2c7eaa7", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "932daa85-b751-4060-a7fa-d517b2c7eaa7", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "932daa85-b751-4060-a7fa-d517b2c7eaa7", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + }, + { + "source": { + "block": "932daa85-b751-4060-a7fa-d517b2c7eaa7", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [ + { + "x": 264, + "y": 368 + } + ] + } + ] + } + } + }, + "8a23ffd0491010ff595493182b82c722bd6902d7": { + "package": { + "name": "DFF-verilog", + "version": "0.2", + "description": "DFF. D Flip-flop. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1594812046378 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": 424 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 128, + "y": 536 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 824, + "y": 536 + } + }, + { + "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 128, + "y": 648 + } + }, + { + "id": "be33796a-a109-4ab0-a53b-dd6ec67587cd", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 488, + "y": 280 + } + }, + { + "id": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q" + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Initial value\nreg qi = INI;\n\nalways @(posedge clk)\nbegin\n \n //-- When load is active\n //-- the input data is captured\n if (load == 1'b1)\n qi <= d;\n \nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 320, + "y": 400 + }, + "size": { + "width": 424, + "height": 336 + } + } + ], + "wires": [ + { + "source": { + "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "port": "out" + }, + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "clk" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "d" + } + }, + { + "source": { + "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "port": "out" + }, + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "load" + } + }, + { + "source": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "q" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "be33796a-a109-4ab0-a53b-dd6ec67587cd", + "port": "constant-out" + }, + "target": { + "block": "5bffd6ef-bb9d-4d3f-a4f0-f91c6040ddc5", + "port": "INI" + } + } + ] + } + } + }, + "e8e3e62a1e1b5f4e98c7e128c7cd1bdf59b8f307": { + "package": { + "name": "syscounter-rst-16bits", + "version": "0.2", + "description": "16-bits Syscounter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618592156430 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -120 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -120 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -32 + } + }, + { + "id": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[15:0]", + "blockColor": "fuchsia", + "size": 16 + }, + "position": { + "x": 1040, + "y": -32 + } + }, + { + "id": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", + "type": "basic.output", + "data": { + "name": "q", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 1216, + "y": -32 + } + }, + { + "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1208, + "y": 56 + } + }, + { + "id": "06c393de-8af4-4b53-852c-b0e0160b5314", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c" + }, + "position": { + "x": 1048, + "y": 56 + } + }, + { + "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 216, + "y": 56 + } + }, + { + "id": "b34779b6-0670-454d-8d35-9f92e6ff15cf", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[15:0]", + "pins": [ + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 88 + } + }, + { + "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 856, + "y": 144 + } + }, + { + "id": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "type": "8ecd5933e4bd5916eca00d6b654d6760012c47a6", + "position": { + "x": 720, + "y": 160 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "de292739-9119-4a80-832d-033be6a9ffbe", + "type": "0788ea6614e4f073f6ed9a49665243d6d489ba46", + "position": { + "x": 544, + "y": 40 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "de292739-9119-4a80-832d-033be6a9ffbe", + "port": "0ae5a502-ee21-47ad-bacb-405a35a87a91" + } + }, + { + "source": { + "block": "06c393de-8af4-4b53-852c-b0e0160b5314", + "port": "outlabel" + }, + "target": { + "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "port": "in" + } + }, + { + "source": { + "block": "de292739-9119-4a80-832d-033be6a9ffbe", + "port": "7995c7b0-4a39-4a19-aaa4-68f4e469912f", + "size": 16 + }, + "target": { + "block": "b34779b6-0670-454d-8d35-9f92e6ff15cf", + "port": "inlabel" + }, + "size": 16 + }, + { + "source": { + "block": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", + "port": "outlabel" + }, + "target": { + "block": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", + "port": "in", + "size": 16 + }, + "size": 16 + }, + { + "source": { + "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "port": "out" + }, + "target": { + "block": "de292739-9119-4a80-832d-033be6a9ffbe", + "port": "892d93f1-9c4c-46c1-82c7-ff9702a5725a" + } + }, + { + "source": { + "block": "de292739-9119-4a80-832d-033be6a9ffbe", + "port": "7995c7b0-4a39-4a19-aaa4-68f4e469912f" + }, + "target": { + "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "port": "cc343d02-8e43-4dd6-9999-21e29934ed5b" + }, + "vertices": [], + "size": 16 + }, + { + "source": { + "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "port": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc" + }, + "target": { + "block": "de292739-9119-4a80-832d-033be6a9ffbe", + "port": "c48a2779-52ed-4dde-8f95-e8ce67397de8" + }, + "vertices": [ + { + "x": 840, + "y": 264 + } + ], + "size": 16 + } + ] + } + } + }, + "8ecd5933e4bd5916eca00d6b654d6760012c47a6": { + "package": { + "name": "Inc1-16bits", + "version": "0.1", + "description": "Inc1-16bit: Increment a 16-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "cc343d02-8e43-4dd6-9999-21e29934ed5b", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", + "type": "basic.output", + "data": { + "name": "s", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 624, + "y": -104 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "12fb4602-d67f-4129-a777-f04945adf29d", + "type": "26569688c377bf52132e5f1de5a15da7143d9388", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "cc343d02-8e43-4dd6-9999-21e29934ed5b", + "port": "out" + }, + "target": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "1253e5dc-89f9-4074-874c-82628c0e1d6f" + }, + "size": 16 + }, + { + "source": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7" + }, + "target": { + "block": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "26569688c377bf52132e5f1de5a15da7143d9388": { + "package": { + "name": "AdderK-16bits", + "version": "0.1", + "description": "AdderK-16bit: Adder of 16-bit operand and 16-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", + "type": "basic.output", + "data": { + "name": "s", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 624, + "y": -96 + } + }, + { + "id": "1253e5dc-89f9-4074-874c-82628c0e1d6f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 232, + "y": -56 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", + "type": "651fa32fcf52b534a766fdb2ba638a010338f268", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "type": "bc66d79524a86b172c0ff190e607bca7c0694b8b", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", + "port": "d54af040-d87a-431d-a15f-d5deb4795af5" + }, + "target": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "353729bb-5213-45a7-a8e1-4e1d812492bd" + }, + "size": 16 + }, + { + "source": { + "block": "1253e5dc-89f9-4074-874c-82628c0e1d6f", + "port": "out" + }, + "target": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4" + }, + "size": 16 + }, + { + "source": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9" + }, + "target": { + "block": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "651fa32fcf52b534a766fdb2ba638a010338f268": { + "package": { + "name": "16-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 16-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "d54af040-d87a-431d-a15f-d5deb4795af5", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "d54af040-d87a-431d-a15f-d5deb4795af5", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "bc66d79524a86b172c0ff190e607bca7c0694b8b": { + "package": { + "name": "Adder-16bits", + "version": "0.1", + "description": "Adder-16bits: Adder of two operands of 16 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -664 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "353729bb-5213-45a7-a8e1-4e1d812492bd", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": -320, + "y": -608 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -592 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", + "type": "basic.output", + "data": { + "name": "s", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -472 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": -320, + "y": -424 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -408 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -168, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -168, + "y": -608 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", + "port": "out" + }, + "target": { + "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "353729bb-5213-45a7-a8e1-4e1d812492bd", + "port": "out" + }, + "target": { + "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + } + ] + } + } + }, + "306ca367fbbc5181b3c709d73f447e0710871a1d": { + "package": { + "name": "Bus16-Split-half", + "version": "0.1", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "type": "basic.output", + "data": { + "name": "1", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 176 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 208 + } + }, + { + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { + "package": { + "name": "AdderC-4bits", + "version": "0.1", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -296, + "y": -168 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -648 + } + }, + { + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -408, + "y": -584 + } + }, + { + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 832, + "y": -480 + } + }, + { + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 248, + "y": -456 + } + }, + { + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -448 + } + }, + { + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -400, + "y": -392 + } + }, + { + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, + { + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 248, + "y": -376 + } + }, + { + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 840, + "y": -336 + } + }, + { + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 120, + "y": -304 + } + }, + { + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 120, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -392, + "y": -168 + } + }, + { + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -240, + "y": -584 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 + }, + { + "source": { + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" + }, + "target": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" + }, + "target": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 632, + "y": 200 + } + }, + { + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "0788ea6614e4f073f6ed9a49665243d6d489ba46": { + "package": { + "name": "16-Sys-reg-rst", + "version": "0.8", + "description": "16-Sys-reg-rst: 16 bits system register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "34c6aff6-7aca-4cc1-8d79-d6143d2f6937", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 920, + "y": -24 + } + }, + { + "id": "83ce9e72-711d-44f2-bbf5-b01c201a652a", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 920, + "y": 56 + } + }, + { + "id": "0ae5a502-ee21-47ad-bacb-405a35a87a91", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 368, + "y": 64 + } + }, + { + "id": "892d93f1-9c4c-46c1-82c7-ff9702a5725a", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 376, + "y": 200 + } + }, + { + "id": "7995c7b0-4a39-4a19-aaa4-68f4e469912f", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 920, + "y": 200 + } + }, + { + "id": "c48a2779-52ed-4dde-8f95-e8ce67397de8", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 376, + "y": 336 + } + }, + { + "id": "d1e52cbe-a82e-4bea-9ed0-e970eec3e43e", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 656, + "y": -80 + } + }, + { + "id": "2cc2ff9d-ebfc-43e2-83d7-5c4a6d8c77e6", + "type": "basic.code", + "data": { + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "d", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "q", + "range": "[15:0]", + "size": 16 + } + ] + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic System register\n//-- with reset\n//-- Number of bits\nlocalparam N = 16;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset: Capture the initial\n //-- value\n if (rst == 1'b1)\n qi <= INI;\n \n //-- No reset: Capture the input\n else\n qi <= d;\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" + }, + "position": { + "x": 528, + "y": 32 + }, + "size": { + "width": 344, + "height": 400 + } + } + ], + "wires": [ + { + "source": { + "block": "2cc2ff9d-ebfc-43e2-83d7-5c4a6d8c77e6", + "port": "q" + }, + "target": { + "block": "7995c7b0-4a39-4a19-aaa4-68f4e469912f", + "port": "in" + }, + "vertices": [], + "size": 16 + }, + { + "source": { + "block": "d1e52cbe-a82e-4bea-9ed0-e970eec3e43e", + "port": "constant-out" + }, + "target": { + "block": "2cc2ff9d-ebfc-43e2-83d7-5c4a6d8c77e6", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "892d93f1-9c4c-46c1-82c7-ff9702a5725a", + "port": "out" + }, + "target": { + "block": "2cc2ff9d-ebfc-43e2-83d7-5c4a6d8c77e6", + "port": "rst" + } + }, + { + "source": { + "block": "c48a2779-52ed-4dde-8f95-e8ce67397de8", + "port": "out" + }, + "target": { + "block": "2cc2ff9d-ebfc-43e2-83d7-5c4a6d8c77e6", + "port": "d" + }, + "size": 16 + }, + { + "source": { + "block": "0ae5a502-ee21-47ad-bacb-405a35a87a91", + "port": "out" + }, + "target": { + "block": "2cc2ff9d-ebfc-43e2-83d7-5c4a6d8c77e6", + "port": "clk" + } + } + ] + } + } + }, + "0705a6a13435ea79daf5779a2a0f076bd71f0a14": { + "package": { + "name": "Sync-x01-verilog", + "version": "0.3", + "description": "Sync-x01: 1-bit input with the system clock domain (Verilog implementation)", + "author": "Juan Gonzalez-González (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "04b7a776-03f4-4de2-a09f-9f3cae6dded2", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 384, + "y": -80 + } + }, + { + "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -136, + "y": -16 + } + }, + { + "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 384, + "y": 56 + } + }, + { + "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": -136, + "y": 128 + } + }, + { + "id": "cf6fe46f-cd0d-4a77-be7a-dd6aa92801fa", + "type": "basic.code", + "data": { + "code": "//-- Two D flip-flops\n//-- connected in cascade\n\nreg q1 = 0;\nreg q2 = 0;\n\nalways @(posedge clk) \nbegin\n //-- First D Flip-Flop\n q1 <= d;\n \n //-- Secondo D Flip-flop\n q2 <= q1;\nend\n\n//-- Assign the output\nassign q = q2;", + "params": [], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 32, + "y": -56 + }, + "size": { + "width": 296, + "height": 288 + } + } + ], + "wires": [ + { + "source": { + "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "port": "out" + }, + "target": { + "block": "cf6fe46f-cd0d-4a77-be7a-dd6aa92801fa", + "port": "clk" + } + }, + { + "source": { + "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "port": "out" + }, + "target": { + "block": "cf6fe46f-cd0d-4a77-be7a-dd6aa92801fa", + "port": "d" + } + }, + { + "source": { + "block": "cf6fe46f-cd0d-4a77-be7a-dd6aa92801fa", + "port": "q" + }, + "target": { + "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "port": "in" + } + } + ] + } + } + }, + "1f0e886090356abdf5937c0bfd95bf482695a204": { + "package": { + "name": "Simplified-Heart-tic-hz-32bits", + "version": "0.3", + "description": "Simplified-Heart-tic-hz-sys-32bits: Nominal periodic signal in hz", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22325.104%22%20height=%22265.645%22%20viewBox=%220%200%2086.017089%2070.285209%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M31.726%2058.436c-1.06-1.822-2.702-3.607-5.814-6.317-1.686-1.467-2.711-2.282-8.55-6.793-4.577-3.536-6.86-5.498-9.506-8.168-2.644-2.67-4.199-4.797-5.532-7.57-.852-1.77-1.437-3.476-1.801-5.249C.06%2022.087-.002%2021.325%200%2018.01c.003-4.352.147-5.076%201.575-7.979%201.062-2.155%201.869-3.29%203.548-4.996%201.631-1.655%202.69-2.407%204.98-3.54C12.645.237%2014.485-.093%2018.275.03c2.945.095%204.023.388%206.358%201.732%203.675%202.114%206.527%205.509%207.316%208.709.129.523.262.951.296.951.034%200%20.331-.612.66-1.36%201.123-2.543%202.166-4.095%203.822-5.69%205.07-4.89%2013.064-5.774%2019.528-2.162%202.64%201.475%204.787%203.623%206.451%206.452%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.307-1.136%201.725-1.977%202.77-3.554%204.416-2.545%202.658-4.84%204.612-10.257%208.732-3.418%202.6-5.444%204.271-8.377%206.914-2.35%202.117-5.99%205.802-6.341%206.419-.154.269-.292.489-.308.489-.017%200-.225-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(1.20898%200%200%201.20898%20-53.578%20-36.926)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -72, + "y": -320 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 56, + "y": -320 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 992, + "y": -320 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": -320 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 488, + "y": -152 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 328, + "y": -72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": -72 + } + }, + { + "id": "f8df038b-f5e0-46f5-9450-173b01f03457", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 800, + "y": -64 + } + }, + { + "id": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12_000_000", + "local": false + }, + "position": { + "x": 104, + "y": -168 + } + }, + { + "id": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "type": "basic.constant", + "data": { + "name": "Hz", + "value": "1", + "local": false + }, + "position": { + "x": 256, + "y": -168 + } + }, + { + "id": "e56583a6-c2fa-4510-9b64-c4369632f185", + "type": "c6c2eb2d82eac0327f1d5f03887af471a8e95341", + "position": { + "x": 176, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c15065c2-22f5-4f0b-9db8-900bebfae47b", + "type": "9cd840b50a80f25715b49324ba45c2e978fbc412", + "position": { + "x": 656, + "y": -112 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "c15065c2-22f5-4f0b-9db8-900bebfae47b", + "port": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "c15065c2-22f5-4f0b-9db8-900bebfae47b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "c15065c2-22f5-4f0b-9db8-900bebfae47b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f8df038b-f5e0-46f5-9450-173b01f03457", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e56583a6-c2fa-4510-9b64-c4369632f185", + "port": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "55733f9a-b0cd-4845-bae6-8d87c3fd9e10", + "port": "constant-out" + }, + "target": { + "block": "e56583a6-c2fa-4510-9b64-c4369632f185", + "port": "b72bf1d9-b1f7-4771-8371-08475f95ee34" + } + }, + { + "source": { + "block": "3a1ef085-fad3-4ba9-93ca-8322601348f3", + "port": "constant-out" + }, + "target": { + "block": "e56583a6-c2fa-4510-9b64-c4369632f185", + "port": "baa35392-0185-43dc-9b99-bb34e31e987d" + } + } + ] + } + } + }, + "c6c2eb2d82eac0327f1d5f03887af471a8e95341": { + "package": { + "name": "Unit-hz-32bits", + "version": "0.1", + "description": "Unit-hz-32bits: hz to cycles converter", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22117.946%22%20height=%2267.211%22%20viewBox=%220%200%2031.206652%2017.78303%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:1.25%22%3E%3Cg%20font-size=%2224.394%22%20stroke-width=%22.577%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold';text-align:center%22%20font-weight=%22700%22%20text-anchor=%22middle%22%20fill=%22green%22%3E%3Cpath%20d=%22M0%200h4.586v6.777h6.765V0h4.586v17.783H11.35v-7.54H4.586v7.54H0zM19.57%204.443h11.637V7.42l-7.028%207.313h7.028v3.049H19.272v-2.978l7.027-7.313h-6.73z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1658085310528 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 952, + "y": 496 + } + }, + { + "id": "baa35392-0185-43dc-9b99-bb34e31e987d", + "type": "basic.constant", + "data": { + "name": "F", + "value": "12000000", + "local": false + }, + "position": { + "x": 520, + "y": 344 + } + }, + { + "id": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "type": "basic.constant", + "data": { + "name": "Hz", + "value": "1", + "local": false + }, + "position": { + "x": 720, + "y": 344 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Input parameter: Milieconds ", + "readonly": true + }, + "position": { + "x": 704, + "y": 304 + }, + "size": { + "width": 280, + "height": 32 + } + }, + { + "id": "0b858727-f8ad-4c64-882b-640b0edba180", + "type": "basic.info", + "data": { + "info": "Input parameter: \nSystem Frequency", + "readonly": true + }, + "position": { + "x": 480, + "y": 264 + }, + "size": { + "width": 280, + "height": 48 + } + }, + { + "id": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "type": "basic.code", + "data": { + "ports": { + "in": [], + "out": [ + { + "name": "n", + "range": "[31:0]", + "size": 32 + } + ] + }, + "params": [ + { + "name": "F" + }, + { + "name": "HZ" + } + ], + "code": "localparam Cycles = $ceil(F / HZ);\n\nassign n = Cycles;\n\n" + }, + "position": { + "x": 472, + "y": 472 + }, + "size": { + "width": 392, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "baa35392-0185-43dc-9b99-bb34e31e987d", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "F" + }, + "vertices": [] + }, + { + "source": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "n" + }, + "target": { + "block": "b51c3b73-95b1-4185-9214-3eaeb1d92230", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "b72bf1d9-b1f7-4771-8371-08475f95ee34", + "port": "constant-out" + }, + "target": { + "block": "543bffe4-b003-4b6f-b0aa-e31cdbf2d867", + "port": "HZ" + } + } + ] + } + } + }, + "9cd840b50a80f25715b49324ba45c2e978fbc412": { + "package": { + "name": "Heart-sys-zero-32bits", + "version": "0.2", + "description": "Heart-sys-zero-32bits: Generate a periodic signal of period T cycles. It starts at cycle 0. It never stops", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22267.83%22%20height=%22235.568%22%20viewBox=%220%200%2070.863319%2062.327419%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-49.44%20-45.386)%22%3E%3Cpath%20d=%22M82.767%20103.823c-1.059-1.823-2.701-3.608-5.813-6.317-1.686-1.468-2.712-2.283-8.55-6.794-4.577-3.536-6.86-5.497-9.506-8.167-2.645-2.67-4.2-4.797-5.533-7.57-.851-1.77-1.436-3.477-1.8-5.25-.463-2.252-.526-3.014-.523-6.33.003-4.352.146-5.075%201.575-7.978%201.062-2.156%201.868-3.291%203.548-4.997%201.63-1.655%202.69-2.407%204.979-3.539%202.544-1.258%204.384-1.588%208.174-1.466%202.945.095%204.023.388%206.358%201.732%203.675%202.115%206.526%205.51%207.316%208.709.129.523.262.952.295.952.034%200%20.332-.612.662-1.36%201.122-2.544%202.164-4.095%203.82-5.692%205.07-4.889%2013.065-5.772%2019.528-2.16%202.64%201.475%204.788%203.622%206.452%206.451%201.31%202.226%201.98%205.183%202.095%209.245.165%205.884-.911%209.962-3.776%2014.308-1.137%201.725-1.978%202.77-3.555%204.416-2.544%202.658-4.839%204.611-10.256%208.731-3.418%202.6-5.445%204.271-8.377%206.914-2.35%202.117-5.991%205.803-6.342%206.419-.153.27-.292.49-.308.49-.016%200-.224-.336-.463-.747z%22%20fill=%22red%22/%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%2028.715%2037.275)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.89397%200%200%20.89397%20106.937%20-105.28)%22%20stroke-width=%221.119%22%3E%3Ccircle%20r=%2212.621%22%20cy=%22225.339%22%20cx=%22-51.401%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.59%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20y=%22232.146%22%20x=%22-58.633%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2235.282%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.732%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22232.146%22%20x=%22-58.633%22%20font-weight=%22700%22%20font-size=%2220.162%22%3E0%3C/tspan%3E%3C/text%3E%3C/g%3E%3Crect%20width=%2235.828%22%20height=%2219.351%22%20x=%2264.354%22%20y=%2261.538%22%20ry=%223.297%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.165%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22400%22%20font-size=%2215.533%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.388%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2267.208%22%20y=%2275.582%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1669286572094 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 96, + "y": -168 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 224, + "y": -168 + } + }, + { + "id": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1216, + "y": -168 + } + }, + { + "id": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "type": "basic.outputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 1074, + "y": -168 + } + }, + { + "id": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1216, + "y": -40 + } + }, + { + "id": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1072, + "y": -40 + } + }, + { + "id": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "type": "basic.inputLabel", + "data": { + "name": "busy", + "blockColor": "navy" + }, + "position": { + "x": 832, + "y": -40 + } + }, + { + "id": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "type": "basic.input", + "data": { + "name": "T", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 96, + "y": -8 + } + }, + { + "id": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "type": "basic.inputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 224, + "y": -8 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 0 + } + }, + { + "id": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 872, + "y": 24 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1216, + "y": 72 + } + }, + { + "id": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "type": "basic.outputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 1072, + "y": 72 + } + }, + { + "id": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "type": "basic.outputLabel", + "data": { + "name": "T", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 488, + "y": 80 + } + }, + { + "id": "89e11527-8c3d-4bbf-9694-1159de958b40", + "type": "basic.inputLabel", + "data": { + "name": "out", + "blockColor": "fuchsia" + }, + "position": { + "x": 864, + "y": 112 + } + }, + { + "id": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": 224 + } + }, + { + "id": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "type": "basic.inputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 816, + "y": 288 + } + }, + { + "id": "815134a8-2814-476d-88c0-0d74da86efc4", + "type": "basic.outputLabel", + "data": { + "name": "loop", + "blockColor": "darkgreen" + }, + "position": { + "x": 272, + "y": 304 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Delay", + "readonly": true + }, + "position": { + "x": 712, + "y": 168 + }, + "size": { + "width": 72, + "height": 32 + } + }, + { + "id": "01772f99-50e3-45ae-95b0-8bca41f89605", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 408, + "y": 240 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6455dc0-2aad-4d56-8a09-4ebcaf8db425", + "type": "basic.info", + "data": { + "info": "Start a new period", + "readonly": true + }, + "position": { + "x": 528, + "y": 232 + }, + "size": { + "width": 160, + "height": 32 + } + }, + { + "id": "8d4fd5c0-10c3-4dc8-b87e-e78b842e9ce0", + "type": "basic.info", + "data": { + "info": "Start at cycle 0!", + "readonly": true + }, + "position": { + "x": 272, + "y": 184 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38f7b59d-a55f-446c-bbf2-60dfc32db5b5", + "type": "basic.info", + "data": { + "info": "Repeat!", + "readonly": true + }, + "position": { + "x": 288, + "y": 368 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "f401416a-89c7-48c4-a759-3a2780237e11", + "type": "basic.info", + "data": { + "info": "Current period ended \nStart a new one", + "readonly": true + }, + "position": { + "x": 816, + "y": 240 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "88d96c30-493c-4914-b0a4-19ffd91abacd", + "type": "basic.info", + "data": { + "info": "Periodo (in system clock cycles)", + "readonly": true + }, + "position": { + "x": 240, + "y": -48 + }, + "size": { + "width": 264, + "height": 40 + } + }, + { + "id": "b628b46a-1f69-485c-82cf-e48cdffb2fbe", + "type": "basic.info", + "data": { + "info": "Current cycle in the period \n(0-T-1)", + "readonly": true + }, + "position": { + "x": 1120, + "y": -80 + }, + "size": { + "width": 232, + "height": 48 + } + }, + { + "id": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "type": "e1fb385d657acff350e273a34472cf836d8701f2", + "position": { + "x": 680, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "type": "12181aafa567423f27b91da184acb1c9a94045c5", + "position": { + "x": 272, + "y": 224 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "283ea4da-c256-4e89-92a0-5d676f5a3f84", + "port": "inlabel" + }, + "vertices": [ + { + "x": 800, + "y": 152 + } + ] + }, + { + "source": { + "block": "815134a8-2814-476d-88c0-0d74da86efc4", + "port": "outlabel" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "0124987f-7749-493e-84ef-1f03b5fca187" + } + }, + { + "source": { + "block": "a95e724c-148d-4ea1-b6e5-5186c82520df", + "port": "out", + "size": 32 + }, + "target": { + "block": "bc8b1751-10a7-4c32-813c-3ad3a4428400", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cc08739e-2d59-4fb9-a0da-89fb990b24ad", + "port": "outlabel" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462" + }, + "target": { + "block": "89e11527-8c3d-4bbf-9694-1159de958b40", + "port": "inlabel" + } + }, + { + "source": { + "block": "e176fb2a-baba-4d4c-94b0-de98a16d38aa", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "48359ec6-e9fe-4a93-afdf-b370703cb415", + "port": "outlabel" + }, + "target": { + "block": "7d4f16a2-13fc-484e-b82f-83563b6cbf55", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "size": 32 + }, + "target": { + "block": "b1a10017-fefa-4904-bbdd-33d96cac6530", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "d75b24d2-b83a-4fc1-8efc-dfe6acfddfe9", + "port": "outlabel" + }, + "target": { + "block": "6e8e760d-c91f-480f-a083-1ccdee496f92", + "port": "in" + } + }, + { + "source": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "59257b45-ae30-457a-a2b2-ae61e9f49ed0", + "port": "inlabel" + } + }, + { + "source": { + "block": "2ca855dc-0d7d-409a-9a94-a31e73bb4bc1", + "port": "outlabel" + }, + "target": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + } + }, + { + "source": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "cb30f70a-b59b-47de-a470-9056f79f9eae", + "port": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80" + }, + "vertices": [] + }, + { + "source": { + "block": "19f9f5c4-0ca9-474f-ab4b-a1531c07cb90", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01772f99-50e3-45ae-95b0-8bca41f89605", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + } + ] + } + } + }, + "e1fb385d657acff350e273a34472cf836d8701f2": { + "package": { + "name": "Sys-Delay-xN-32bits", + "version": "0.3", + "description": "Sys-Delay-xN-32bits: The input tic is delayed N cycles", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22301.676%22%20height=%22296.018%22%20viewBox=%220%200%2079.818513%2078.321335%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22c%22%20orient=%22auto-start-reverse%22%20markerWidth=%224%22%20markerHeight=%224.8%22%20viewBox=%220%200%205.3244081%206.1553851%22%20preserveAspectRatio=%22xMidYMid%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.885%200L-1.44%202.5v-5z%22%20fill=%22context-stroke%22%20fill-rule=%22evenodd%22%20stroke=%22context-stroke%22%20stroke-width=%22.5pt%22/%3E%3C/marker%3E%3ClinearGradient%20id=%22a%22%20x1=%2283.2%22%20x2=%2246.534%22%20y1=%22111.65%22%20y2=%2220.316%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#BBDEFB%22%20offset=%22.002%22/%3E%3Cstop%20stop-color=%22#E3F2FD%22%20offset=%221%22/%3E%3C/linearGradient%3E%3ClinearGradient%20id=%22b%22%20x1=%2264.395%22%20x2=%2264.395%22%20y1=%2238.333%22%20y2=%22114.21%22%20gradientUnits=%22userSpaceOnUse%22%20gradientTransform=%22matrix(.26458%200%200%20.26458%20164.285%20-22.015)%22%3E%3Cstop%20stop-color=%22#FFCA7B%22%20offset=%220%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.254%22/%3E%3Cstop%20stop-color=%22#FFCC80%22%20offset=%22.469%22/%3E%3Cstop%20stop-color=%22#FFC875%22%20offset=%22.659%22/%3E%3Cstop%20stop-color=%22#FFA726%22%20offset=%22.867%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(-142.558%2037.238)%22%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%20142.263%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22175.163%22%20y=%2239.703%22%20font-weight=%22400%22%20font-size=%2214.287%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%22175.163%22%20y=%2239.703%22%3ExN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.69222%200%200%20.69222%2083.254%20-20.348)%22%20stroke-width=%221.216%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.74%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.85%22/%3E%3C/g%3E%3Cg%20stroke-width=%22.904%22%3E%3Cpath%20d=%22M179.184-6.25c.415.177.426.849.013%201.04-1.36.632-7.956%203.277-7.956%2012.908l-.042%201.778%2020.042.035-.013-1.794c0-9.816-6.636-12.253-8.004-12.89-.41-.191-.402-.863.013-1.04%201.357-.583%207.84-3.348%207.84-12.01l.166-1.508-20.044-.032.174%201.58c0%208.392%206.448%2011.348%207.81%2011.932z%22%20fill=%22url(#a)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M189.645%206.904c-.49-2.293-1.301-3.783-3.389-3.783h-4.3l-.002-9.089c0-2.362%206.061-3.048%206.061-6.368l-13.48.008c0%203.201%206.019%204.043%206.019%206.384l.008%209.065h-4.202c-2.103%200-2.923%201.513-3.397%203.831l-.58%202.768%2017.876.047z%22%20fill=%22url(#b)%22%20transform=%22matrix(1.39926%200%200%201.39926%20-73.678%202.957)%22/%3E%3Cpath%20d=%22M167.114-23.582l25.564.041-.1.877c-.004.041-.007.082-.007.123%200%207.43-3.554%2012.887-10.296%2015.782-.666.285-1.103.963-1.114%201.725-.012.763.407%201.455%201.066%201.759.1.048.218.1.355.16%202.525%201.12%2010.204%204.538%2010.204%2016.877l.01%201.389-25.785-.045.033-1.35v-.027c0-5.235%201.444-9.51%204.298-12.71%202.277-2.561%204.85-3.735%205.95-4.235.089-.04.17-.078.244-.11.66-.308%201.078-.997%201.066-1.76-.01-.762-.448-1.436-1.114-1.725-3.084-1.325-10.259-5.505-10.259-15.675%200-.04-.004-.081-.007-.122l-.107-.974m-1.24-1.114l.244%202.21c0%2011.744%209.022%2015.879%2010.929%2016.697.581.248.596%201.189.018%201.455-1.903.885-11.132%204.587-11.132%2018.063l-.06%202.488%2028.041.048-.018-2.51c0-13.735-9.285-17.145-11.2-18.037-.573-.267-.562-1.207.02-1.455%201.898-.815%2010.969-4.683%2010.969-16.804l.233-2.11z%22%20stroke=%22#000%22%20stroke-width=%22.33442313999999995%22/%3E%3Cpath%20d=%22M165.463-26.366h28.862a2.229%202.229%200%201%201%200%204.458h-28.862a2.229%202.229%200%201%201%200-4.458z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.325-25.255a1.12%201.12%200%200%201%201.118%201.118%201.12%201.12%200%200%201-1.118%201.118h-28.862a1.12%201.12%200%200%201-1.118-1.118c0-.615.503-1.118%201.118-1.118h28.862m0-1.11h-28.862a2.229%202.229%200%200%200%200%204.457h28.862a2.229%202.229%200%201%200%200-4.458z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3Cpath%20d=%22M188.568-13.552c-.455%201.67-2.41%202.798-4.313%203.89-2.095%201.207-4.072%202.348-4.072%204.288l.003%2012.717c0%20.407.334.722.74.722h6.017c2.2%200%203.288%201.288%204.017%204.713l.67%203.106-23.183-.06.625-2.99c.696-3.422%201.826-4.77%204.025-4.77h5.879a.711.711%200%200%200%20.74-.714l-.01-12.695c0-1.928-1.982-3.11-4.08-4.36-1.86-1.112-3.773-2.252-4.236-3.844l17.178-.003m.837-.745l-18.863.008c0%204.48%208.423%205.657%208.423%208.93l.01%2012.683h-5.878c-2.944%200-4.091%202.118-4.754%205.361l-.81%203.873%2025.011.074-.862-4.013c-.681-3.21-1.818-5.295-4.739-5.295h-6.016l-.004-12.717c0-3.306%208.482-4.254%208.482-8.904z%22%20fill=%22#424242%22/%3E%3Cpath%20d=%22M165.404%2013.733h28.98a2.166%202.166%200%200%201%200%204.331h-28.98a2.166%202.166%200%200%201%200-4.331z%22%20fill=%22#795548%22/%3E%3Cpath%20d=%22M194.384%2014.844c.582%200%201.056.473%201.056%201.055%200%20.58-.474%201.055-1.056%201.055h-28.98a1.057%201.057%200%200%201-1.056-1.055c0-.582.474-1.055%201.056-1.055h28.98m0-1.111h-28.98a2.166%202.166%200%200%200%200%204.331h28.98a2.166%202.166%200%200%200%200-4.331z%22%20fill=%22#424242%22%20opacity=%22.2%22%20stroke-width=%22.817%22/%3E%3C/g%3E%3Ccircle%20cx=%22206.507%22%20cy=%22-18.309%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22211.019%22%20cy=%22-1.44%22%20r=%221.093%22/%3E%3Cpath%20d=%22M208.626%206.075a29.533%2029.533%200%200%201-33.111%2019.077%2029.533%2029.533%200%200%201-24.216-29.561%2029.533%2029.533%200%200%201%2025.223-28.707%2029.533%2029.533%200%200%201%2032.432%2020.21%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%222.117%22%20stroke-linecap=%22round%22%20marker-end=%22url(#c)%22/%3E%3Ccircle%20cx=%22211.111%22%20cy=%22-5.419%22%20r=%221.093%22/%3E%3Ccircle%20cx=%22210.124%22%20cy=%222.25%22%20r=%221.093%22/%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%22197.568%22%20y=%22-36.841%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%22199.512%22%20y=%22-27.273%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1616831800584 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 1104, + "y": 40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "busy" + }, + "position": { + "x": 1264, + "y": 40 + } + }, + { + "id": "0124987f-7749-493e-84ef-1f03b5fca187", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 112, + "y": 64 + } + }, + { + "id": "12f80074-62b7-463f-8191-1301ae02e38b", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 248, + "y": 64 + } + }, + { + "id": "b138b609-b509-4583-a8a5-c012a228bae2", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 80 + } + }, + { + "id": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "type": "basic.inputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "type": "basic.outputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 400, + "y": 144 + } + }, + { + "id": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "type": "basic.input", + "data": { + "name": "N", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 152 + } + }, + { + "id": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "type": "basic.inputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 240, + "y": 152 + } + }, + { + "id": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 400, + "y": 208 + } + }, + { + "id": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "type": "basic.output", + "data": { + "name": "n", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1264, + "y": 240 + } + }, + { + "id": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "type": "basic.outputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 1112, + "y": 240 + } + }, + { + "id": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "type": "basic.input", + "data": { + "name": "start", + "clock": false + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "type": "basic.inputLabel", + "data": { + "name": "start", + "blockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": 248 + } + }, + { + "id": "7e407e80-55f5-404a-b07f-97ca0e962292", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 568, + "y": 288 + } + }, + { + "id": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 568, + "y": 360 + } + }, + { + "id": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1272, + "y": 376 + } + }, + { + "id": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "type": "basic.outputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 1104, + "y": 376 + } + }, + { + "id": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "type": "basic.inputLabel", + "data": { + "name": "n", + "range": "[31:0]", + "blockColor": "springgreen", + "size": 32 + }, + "position": { + "x": 912, + "y": 376 + } + }, + { + "id": "331ebdab-3e6e-443e-b42f-661e863c548b", + "type": "basic.outputLabel", + "data": { + "name": "N", + "range": "[31:0]", + "blockColor": "darkgreen", + "size": 32 + }, + "position": { + "x": 568, + "y": 424 + } + }, + { + "id": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "type": "basic.inputLabel", + "data": { + "name": "max", + "blockColor": "lightgray" + }, + "position": { + "x": 912, + "y": 440 + } + }, + { + "id": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "type": "basic.outputLabel", + "data": { + "name": "state", + "blockColor": "navy" + }, + "position": { + "x": 568, + "y": 496 + } + }, + { + "id": "be4c0ae6-e995-42a6-ae06-3345c1256097", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 120, + "y": 32 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "cce1f0c9-d0d9-4cdc-8994-499cfda00490", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 112, + "y": 224 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "7e5af06f-1415-4ef0-9dc5-836b74a9dc79", + "type": "basic.info", + "data": { + "info": "Cycles to delay", + "readonly": true + }, + "position": { + "x": 112, + "y": 136 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "b987ff0d-e2ae-46be-9297-47d04bdaa332", + "type": "basic.info", + "data": { + "info": "Delay finished!", + "readonly": true + }, + "position": { + "x": 1256, + "y": 344 + }, + "size": { + "width": 224, + "height": 40 + } + }, + { + "id": "0b8e5a5f-f6c2-457b-9794-7eabbf144121", + "type": "basic.info", + "data": { + "info": "Machine state: ON/OFF", + "readonly": true + }, + "position": { + "x": 1240, + "y": -8 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "bb602702-5cef-402e-8943-22ab77156f9e", + "type": "basic.info", + "data": { + "info": "RS Flip-Flop", + "readonly": true + }, + "position": { + "x": 584, + "y": 240 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "89b58e43-87da-4d54-9a75-811f7fc6e5ec", + "type": "basic.info", + "data": { + "info": "Circuit state: \n* ON: working (1)\n* OFF: Not working (0)", + "readonly": true + }, + "position": { + "x": 520, + "y": 8 + }, + "size": { + "width": 224, + "height": 72 + } + }, + { + "id": "44df94db-45c6-498c-a884-a5a7c1be5a4d", + "type": "basic.info", + "data": { + "info": "The counter has reached \nthe number of cycles \nto delay", + "readonly": true + }, + "position": { + "x": 872, + "y": 520 + }, + "size": { + "width": 208, + "height": 72 + } + }, + { + "id": "68b225e5-38a1-4efc-921c-608183d8c748", + "type": "ee22aefdb40369d328e34626d38b009de330f96b", + "position": { + "x": 744, + "y": 376 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "type": "ef27646a2ca3d9f1e7811dac0fd909719b5affe1", + "position": { + "x": 568, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "0124987f-7749-493e-84ef-1f03b5fca187", + "port": "out" + }, + "target": { + "block": "12f80074-62b7-463f-8191-1301ae02e38b", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "a0fe9fd3-bdde-4fe6-8ed3-5c4ce70abb80", + "port": "out" + }, + "target": { + "block": "f5bb154e-58e5-4226-91dc-b1e6d1247064", + "port": "inlabel" + } + }, + { + "source": { + "block": "a5ca7f4a-4907-491b-9ec9-cc38a0b28c53", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0af6eb4c-456d-461a-a9aa-3c9154cfce9e", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e407e80-55f5-404a-b07f-97ca0e962292", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "b138b609-b509-4583-a8a5-c012a228bae2", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 528, + "y": 128 + } + ] + }, + { + "source": { + "block": "b726e994-c8bd-4b3e-b4d2-bece67f0d41c", + "port": "outlabel" + }, + "target": { + "block": "56d049ad-3577-4c0a-97f0-f098c4cdec3b", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef" + }, + "target": { + "block": "04d1a339-f7c8-41db-a28a-509d4cf5874b", + "port": "inlabel" + } + }, + { + "source": { + "block": "58a362eb-5c58-4ee0-ac4b-81625a69a407", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "a3378820-a2db-44aa-b0e7-4f0de80d2eb1", + "port": "outlabel" + }, + "target": { + "block": "d408aa6e-af30-4ebb-a2dc-fdfdceb5f462", + "port": "in" + } + }, + { + "source": { + "block": "9f46071e-44c9-490a-b0d6-880ddb02b536", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "5726f071-b4ae-41b8-8b84-4f6b17c49e13" + }, + "vertices": [ + { + "x": 696, + "y": 488 + } + ] + }, + { + "source": { + "block": "ccf581ce-dfad-458f-ab51-a1a1ef7a5e52", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3" + }, + "vertices": [ + { + "x": 704, + "y": 408 + } + ] + }, + { + "source": { + "block": "f28a5159-04ad-4eff-9af9-2c4e8f09aa78", + "port": "outlabel" + }, + "target": { + "block": "1b2c0e74-24ee-4b68-8bb9-2b4931f5d9b4", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "size": 32 + }, + "target": { + "block": "b53c7e1d-c9a5-469b-b99d-5e3b4df14eae", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "12747bd0-f54f-4edc-91fa-7cd86de9d05c", + "port": "out", + "size": 32 + }, + "target": { + "block": "36dfd7a0-4c97-42e6-bbbb-7924473c98eb", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "331ebdab-3e6e-443e-b42f-661e863c548b", + "port": "outlabel" + }, + "target": { + "block": "68b225e5-38a1-4efc-921c-608183d8c748", + "port": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "size": 32 + }, + "size": 32 + } + ] + } + } + }, + "ee22aefdb40369d328e34626d38b009de330f96b": { + "package": { + "name": "Counter-M-rst-x32", + "version": "0.3", + "description": "Counter-M-x32: 32-bits M module counter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22313.792%22%20height=%22420.626%22%20viewBox=%220%200%2083.024069%20111.29051%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-62.688%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%2014.566)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618503523961 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -24, + "y": -296 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 104, + "y": -296 + } + }, + { + "id": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1184, + "y": -280 + } + }, + { + "id": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 1016, + "y": -280 + } + }, + { + "id": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -24, + "y": -232 + } + }, + { + "id": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -232 + } + }, + { + "id": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1176, + "y": -208 + } + }, + { + "id": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 1016, + "y": -208 + } + }, + { + "id": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "type": "basic.inputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": -160 + } + }, + { + "id": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "type": "basic.input", + "data": { + "name": "M", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -24, + "y": -160 + } + }, + { + "id": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": -24, + "y": -96 + } + }, + { + "id": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 104, + "y": -96 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 16 + } + }, + { + "id": "1790a745-5b0b-4d37-8600-1ed268432761", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 304, + "y": 40 + } + }, + { + "id": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 32, + "y": 136 + } + }, + { + "id": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 32, + "y": 192 + } + }, + { + "id": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": -32, + "y": 304 + } + }, + { + "id": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 792, + "y": 320 + } + }, + { + "id": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "max" + }, + "position": { + "x": 424, + "y": 424 + } + }, + { + "id": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "type": "basic.outputLabel", + "data": { + "name": "M", + "range": "[31:0]", + "blockColor": "fuchsia", + "size": 32 + }, + "position": { + "x": 104, + "y": 440 + } + }, + { + "id": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "cnt", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 488 + } + }, + { + "id": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 632, + "y": 8 + } + }, + { + "id": "db7601a7-918f-4bee-98fa-9d37a69d91c7", + "type": "basic.info", + "data": { + "info": "Maximum count \nreached", + "readonly": true + }, + "position": { + "x": 424, + "y": 368 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "45897e6a-9b78-4a40-8fab-042dec1bc909", + "type": "basic.info", + "data": { + "info": "32-bits register", + "readonly": true + }, + "position": { + "x": 640, + "y": 256 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "be8c6e83-c3a3-498c-b143-0805decab00f", + "type": "basic.info", + "data": { + "info": "If the max count is reached \nand the cnt tic is received, \nthe register is reset to 0", + "readonly": true + }, + "position": { + "x": 80, + "y": 72 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 184, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "295b1237-e223-4bc5-9df4-014c00c3e025", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 440, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af59c885-f00c-4fbe-93d0-174877971578", + "type": "basic.info", + "data": { + "info": "External reset", + "readonly": true + }, + "position": { + "x": 304, + "y": 16 + }, + "size": { + "width": 136, + "height": 32 + } + }, + { + "id": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "type": "0906c20b5d8675f4f9b5706640957da9573692b5", + "position": { + "x": 120, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "type": "a63735be57457fe4a3aad098b06ba4a251615267", + "position": { + "x": 296, + "y": 424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "type": "d5bdd7a992a6059cc78d3174c69190ccb6ed9692", + "position": { + "x": 632, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + }, + "vertices": [ + { + "x": 584, + "y": 88 + } + ] + }, + { + "source": { + "block": "3bb880d6-a703-4f19-ad4e-00d18e4447f0", + "port": "outlabel" + }, + "target": { + "block": "5ba18501-0c42-44d7-a52b-f528b8e1c4ef", + "port": "in" + } + }, + { + "source": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "50f8ec2e-140b-469d-8ef2-316befafd7e5", + "port": "inlabel" + } + }, + { + "source": { + "block": "5726f071-b4ae-41b8-8b84-4f6b17c49e13", + "port": "out" + }, + "target": { + "block": "1136ff55-45cb-4826-aa0e-5c4eb4940f67", + "port": "inlabel" + } + }, + { + "source": { + "block": "2021341d-fb0f-4b7e-9810-c78e18f263cb", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1a2a61a3-3a73-4fe9-af4e-57934e96fd8d", + "port": "outlabel" + }, + "target": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "3d040a9b-b4a5-4fac-83fd-14a70395b925", + "port": "outlabel" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "f1022de4-0225-43d7-92d3-451161ee6942" + } + }, + { + "source": { + "block": "38d54f19-1cdb-4ba5-a2b3-4b4987358ba3", + "port": "out" + }, + "target": { + "block": "736be7f5-0499-4de6-8dfe-58fbd131db56", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "1790a745-5b0b-4d37-8600-1ed268432761", + "port": "outlabel" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "c7dbcd8e-6532-4634-b9f9-d723de19bf16", + "port": "outlabel" + }, + "target": { + "block": "8454d6fc-7e44-4538-8cff-a545a2ce8585", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "3e38b363-c6bf-40cc-9483-3d88b8fa0bbe", + "port": "out", + "size": 32 + }, + "target": { + "block": "7abf95e4-f73e-4a31-b961-f50a26a4d207", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "c96a0220-fe85-4c2e-9d55-491e8f0cfb53", + "port": "outlabel" + }, + "target": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "521f03e5-f364-41b0-a2b8-c7858e6debf5", + "size": 32 + }, + "target": { + "block": "a03639a5-3c29-4734-a33d-2fae97c4e933", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "a63f4b76-1a58-41bb-9567-6a3f6f16539e", + "port": "outlabel" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "c97f7411-94ef-4d63-ba23-ce596ebcbb25" + } + }, + { + "source": { + "block": "14f05d14-a412-4fcb-a2bd-3ac834f129fb", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "295b1237-e223-4bc5-9df4-014c00c3e025", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b" + }, + "vertices": [ + { + "x": 592, + "y": 288 + } + ], + "size": 32 + }, + { + "source": { + "block": "fb30afbb-1dc7-449a-a531-7e3d57f872be", + "port": "constant-out" + }, + "target": { + "block": "6f5b2284-b7dd-408b-a509-1b2bc922a044", + "port": "d9020031-ade2-47d0-bca0-4b88fe7eaebd" + } + }, + { + "source": { + "block": "674a2ae1-f33a-449e-ad21-fcaa6761302b", + "port": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58" + }, + "target": { + "block": "b3864be2-500d-4fd7-b064-985fb7a59e73", + "port": "8ba682c2-8806-47ab-bbad-f3a4e88db079" + }, + "size": 32 + } + ] + } + } + }, + "0906c20b5d8675f4f9b5706640957da9573692b5": { + "package": { + "name": "Inc1-32bits", + "version": "0.1", + "description": "Inc1-32bit: Increment a 32-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "650e86ca-0615-499a-a532-ed961351850c", + "type": "3c5f22b3989740b7a079ea25765ff22c4b8943cf", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "360ef224-5b8f-4f5d-a1da-64432e80b443", + "port": "out" + }, + "target": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "ca378738-f6bc-431b-83e8-fbc11f37d54b" + }, + "size": 32 + }, + { + "source": { + "block": "650e86ca-0615-499a-a532-ed961351850c", + "port": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb" + }, + "target": { + "block": "6ac8a1fd-e0f3-4fe4-8284-20eb83dced58", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "3c5f22b3989740b7a079ea25765ff22c4b8943cf": { + "package": { + "name": "AdderK-32bits", + "version": "0.1", + "description": "AdderK-32bit: Adder of 32-bit operand and 32-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 616, + "y": -88 + } + }, + { + "id": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 232, + "y": -48 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "f235c473-a611-402b-a071-c4320af5b38c", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "95fad240-42f1-4671-9165-7ed8d5bff396", + "type": "f25742a4541382fc316890ce8673885ff18a66e8", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "f235c473-a611-402b-a071-c4320af5b38c", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "0d3bf835-b162-478d-bd73-91c914e05261" + }, + "size": 32 + }, + { + "source": { + "block": "ca378738-f6bc-431b-83e8-fbc11f37d54b", + "port": "out" + }, + "target": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "af50622f-b846-4985-9ecd-9311eae669b6" + }, + "size": 32 + }, + { + "source": { + "block": "95fad240-42f1-4671-9165-7ed8d5bff396", + "port": "f690df81-1f8f-4de5-a2c9-6441486c5cc0" + }, + "target": { + "block": "1a9ad2b3-44a3-40f8-8d5f-0417a3a439fb", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "f25742a4541382fc316890ce8673885ff18a66e8": { + "package": { + "name": "Adder-32bits", + "version": "0.1", + "description": "Adder-32bits: Adder of two operands of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1160, + "y": -496 + } + }, + { + "id": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "type": "basic.inputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 144, + "y": -472 + } + }, + { + "id": "64015db2-163d-4858-966f-2f7282b187c9", + "type": "basic.outputLabel", + "data": { + "name": "a3", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 784, + "y": -440 + } + }, + { + "id": "0d3bf835-b162-478d-bd73-91c914e05261", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -568, + "y": -424 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 136, + "y": -384 + } + }, + { + "id": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "type": "basic.outputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 776, + "y": -360 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -56, + "y": -344 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -232, + "y": -328 + } + }, + { + "id": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "type": "basic.output", + "data": { + "name": "s", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1328, + "y": -320 + } + }, + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 600, + "y": -312 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 600, + "y": -248 + } + }, + { + "id": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "type": "basic.inputLabel", + "data": { + "name": "b3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 144, + "y": -168 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 408, + "y": -136 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 136, + "y": -104 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 408, + "y": -56 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -40, + "y": -32 + } + }, + { + "id": "af50622f-b846-4985-9ecd-9311eae669b6", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": -552, + "y": -32 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -208, + "y": -16 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 240, + "y": 56 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 240, + "y": 120 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 408, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 576, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 816, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 760, + "y": -264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 992, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -384, + "y": -32 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9454de89-973a-4059-9061-689e93c1a83d", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -208, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -16, + "y": -120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "type": "df0f3f06c52c56d0d046f2ceed137a642c18ae0a", + "position": { + "x": -400, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a1d273a-692b-47e7-b804-c316a57ef405", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -200, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -8, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 952, + "y": -376 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "type": "ab06af09e4825434c378ef37d8362e7818fd4a8f", + "position": { + "x": 1160, + "y": -320 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "3ea559ff-d9a3-4048-a347-803ba9ff58c0", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "6848d3cc-1668-42a1-aa48-54b5bf729fea", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "820b8e01-7b0a-43c9-b539-d418bf983a1b", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64015db2-163d-4858-966f-2f7282b187c9", + "port": "outlabel" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "3b957f7a-e94e-490f-8313-231ef9f2bdae" + }, + "size": 24 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "af50622f-b846-4985-9ecd-9311eae669b6", + "port": "out" + }, + "target": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f774efb2-f5e3-4663-8de0-4b8ef65b8b09", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9454de89-973a-4059-9061-689e93c1a83d", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "ed14e466-d8fc-4816-a575-0444806c8cfe", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "0d3bf835-b162-478d-bd73-91c914e05261", + "port": "out" + }, + "target": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "bcacb1dd-d435-4ddf-822a-ae35d9dd83f7", + "port": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1" + }, + "target": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "3a1d273a-692b-47e7-b804-c316a57ef405", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "fc197af1-6802-4a9f-9db3-72e5fc61e92c", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "df606633-01cf-4c68-8ed4-c5343516f6ea", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "a99dfdbd-22cc-4a9f-a5be-c5ffaa17dfb9", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f690df81-1f8f-4de5-a2c9-6441486c5cc0", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 } }, { - "id": "45cf5078-8fb4-4f37-be3b-93480aa9f98c", - "type": "basic.inputLabel", + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", "data": { - "name": "din", - "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": true, - "blockColor": "fuchsia" + "name": "", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 144, - "y": 408 + "x": 640, + "y": 200 } }, { - "id": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", - "position": { - "x": 896, - "y": 256 + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 120, + "y": 264 } }, { - "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", - "type": "basic.info", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "info": "Accumulator", - "readonly": true + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } }, "position": { - "x": 304, - "y": 216 + "x": 296, + "y": 176 }, "size": { - "width": 120, - "height": 40 + "width": 272, + "height": 104 } + } + ], + "wires": [ + { + "source": { + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 16 }, { - "id": "12428847-e480-477f-be65-13b493b6c88c", - "type": "basic.info", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "df0f3f06c52c56d0d046f2ceed137a642c18ae0a": { + "package": { + "name": "Bus32-Split-8-24 CLONE", + "version": "0.1-c1628225021128", + "description": "Bus32-Split-8-24: Split the 28-bits bus into two buses of 8 and 24 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "type": "basic.output", "data": { - "info": "Add the incoming sample \nto the accumulator", - "readonly": true + "name": "1", + "range": "[23:0]", + "size": 24 }, "position": { - "x": 464, - "y": 216 - }, - "size": { - "width": 200, - "height": 56 + "x": 592, + "y": 152 } }, { - "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", - "type": "basic.info", + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", "data": { - "info": "Incoming sample", - "readonly": true + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 0, - "y": 376 - }, - "size": { - "width": 152, - "height": 40 + "x": 72, + "y": 208 } }, { - "id": "49822c19-24f6-4090-8a8b-4fffe572094d", - "type": "basic.info", + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", "data": { - "info": "Accumulator overflow \ncarry set (MSB)", - "readonly": true + "name": "0", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 672, - "y": 248 - }, - "size": { - "width": 184, - "height": 56 + "x": 592, + "y": 232 } }, { - "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", - "type": "basic.info", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", "data": { - "info": "Pulse-density modulated \noutput signal", - "readonly": true + "code": "assign o1 = i[31:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[23:0]", + "size": 24 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } }, "position": { - "x": 1032, - "y": 208 + "x": 272, + "y": 176 }, "size": { - "width": 200, - "height": 56 + "width": 240, + "height": 120 } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 }, { - "id": "d98849ca-6a50-4042-b702-7be7970adf88", - "type": "basic.info", + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f1c65ee1-45a3-412a-a3a4-cd3e16ce49d1", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", "data": { - "info": "# Pulse-density modulated Digital to Analog Converter \n\n", - "readonly": true + "name": "1", + "range": "[15:0]", + "size": 16 }, "position": { - "x": 216, - "y": -96 - }, - "size": { - "width": 648, - "height": 72 + "x": 600, + "y": 128 } }, { - "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", - "type": "basic.info", + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", "data": { - "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", - "readonly": true + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 }, "position": { - "x": 1024, - "y": -88 - }, - "size": { - "width": 496, - "height": 184 + "x": 96, + "y": 200 } }, { - "id": "c31580f2-9d11-4333-b418-84926d62613f", - "type": "36136f5402eda12dae09f970a125a3f06c4bff93", - "position": { - "x": 488, - "y": 288 + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 }, - "size": { - "width": 96, - "height": 64 + "position": { + "x": 592, + "y": 224 } }, { - "id": "2927b3e9-fd1b-424e-b6ac-a364155392d2", - "type": "a940efe1f00c714dc9e6cb87e570cabdc4e67620", + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, "position": { - "x": 288, - "y": 272 + "x": 272, + "y": 168 }, "size": { - "width": 96, - "height": 64 + "width": 240, + "height": 120 } } ], "wires": [ { "source": { - "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", "port": "out" }, "target": { - "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", - "port": "inlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", - "port": "outlabel" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" }, "target": { - "block": "2927b3e9-fd1b-424e-b6ac-a364155392d2", - "port": "096f61b6-6d5c-4907-9512-e65b25969458" - } - }, - { - "source": { - "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", - "port": "outlabel" + "block": "4ca7b72f-724d-435c-8490-645c338586db", + "port": "in" }, - "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "3943e194-090b-4553-9df3-88bc4b17abc2" - } + "size": 16 }, { "source": { - "block": "c31580f2-9d11-4333-b418-84926d62613f", - "port": "499982c7-82a1-4242-bd6b-8c451083ca95", - "size": 8 + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" }, "target": { - "block": "48c8c876-6805-42ad-a076-aaab0c0ab088", - "port": "inlabel" + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" }, "size": 8 - }, + } + ] + } + } + }, + "ab06af09e4825434c378ef37d8362e7818fd4a8f": { + "package": { + "name": "Bus32-Join-8-24", + "version": "0.1", + "description": "Bus32-Join-8-24: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ { - "source": { - "block": "c32e616e-e969-43c5-a253-cdabf0026d8c", - "port": "outlabel" - }, - "target": { - "block": "c31580f2-9d11-4333-b418-84926d62613f", - "port": "113cd79e-1b76-4860-b465-34306703725a", + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, "size": 8 }, - "size": 8 + "position": { + "x": 120, + "y": 104 + } }, { - "source": { - "block": "b6fae20e-71c2-43a3-a076-42a82e71e432", - "port": "out", - "size": 8 + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 }, - "target": { - "block": "45cf5078-8fb4-4f37-be3b-93480aa9f98c", - "port": "inlabel" + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "type": "basic.input", + "data": { + "name": "0", + "range": "[23:0]", + "clock": false, + "size": 24 }, - "size": 8 + "position": { + "x": 120, + "y": 224 + } }, { - "source": { - "block": "522562d6-e08d-4fc4-bd1a-77e36285a950", - "port": "outlabel" + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } }, - "target": { - "block": "2927b3e9-fd1b-424e-b6ac-a364155392d2", - "port": "efa5aff9-6233-40da-ab03-9aa804a38156", - "size": 8 + "position": { + "x": 296, + "y": 176 }, - "size": 8 - }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ { "source": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + "block": "3b957f7a-e94e-490f-8313-231ef9f2bdae", + "port": "out" }, "target": { - "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", - "port": "in" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" }, - "vertices": [] + "size": 24 }, { "source": { - "block": "c31580f2-9d11-4333-b418-84926d62613f", - "port": "eb43abda-e818-4a4a-a2dd-890d780ac19a" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" }, "target": { - "block": "68e6c8b6-afa6-42c3-8768-2229933a242a", - "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" - } + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 }, { "source": { - "block": "2927b3e9-fd1b-424e-b6ac-a364155392d2", - "port": "b2345de6-0f12-4398-b563-99fa8453efa1" + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" }, "target": { - "block": "c31580f2-9d11-4333-b418-84926d62613f", - "port": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162" + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" }, + "vertices": [ + { + "x": 232, + "y": 176 + } + ], "size": 8 } ] } } }, - "1c7dae7144d376f2ee4896fcc502a29110e2db37": { + "a63735be57457fe4a3aad098b06ba4a251615267": { "package": { - "name": "Biestable-D", - "version": "0.1", - "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", + "name": "Geu-32-Bits_v", + "version": "0.2-c1669385719384", + "description": "Geu-32-Bits_v: 32-bit Unsigned Greather than or equal comparator. Verilog implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22741.058%22%20height=%22354.013%22%20viewBox=%220%200%20196.07157%2093.66607%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20word-spacing=%220%22%20letter-spacing=%220%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20stroke-width=%22.206%22%20fill=%22#00f%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M51.085%2016.137l62.224%2021.43-62.224%2021.3v16.137l82.75-29.885V29.886L51.085%200z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20stroke-width=%22.057%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M19.448%2037.422q-3.717%200-5.608%201.26-1.859%201.262-1.859%203.718%200%202.257%201.494%203.551Q15%2047.212%2017.69%2047.212q3.352%200%205.642-2.39%202.29-2.422%202.29-6.04v-1.36zm18.155-4.48v21.207H25.622v-5.51q-2.39%203.386-5.377%204.945-2.987%201.527-7.268%201.527-5.775%200-9.393-3.352Q0%2048.374%200%2042.997q0-6.538%204.48-9.591%204.514-3.054%2014.139-3.054h7.003v-.929q0-2.82-2.224-4.115-2.224-1.328-6.936-1.328-3.817%200-7.103.764-3.285.763-6.107%202.29v-9.06q3.817-.93%207.667-1.395%203.85-.498%207.7-.498%2010.056%200%2014.503%203.983%204.48%203.95%204.48%2012.877z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M175.96%2054.46q3.816%200%205.807-2.787%202.025-2.788%202.025-8.098%200-5.31-2.025-8.098-1.991-2.788-5.808-2.788-3.816%200-5.874%202.821-2.025%202.788-2.025%208.065%200%205.277%202.025%208.098%202.058%202.788%205.874%202.788zm-7.9-24.06q2.456-3.253%205.443-4.78%202.987-1.56%206.87-1.56%206.87%200%2011.284%205.476%204.415%205.443%204.415%2014.039t-4.415%2014.072q-4.414%205.443-11.284%205.443-3.883%200-6.87-1.527-2.987-1.56-5.443-4.812v5.376H156.18V10.486h11.881z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.515%22%20stroke-width=%22.029%22/%3E%3C/g%3E%3Cpath%20d=%22M130.933%2059.28L59.498%2085.192%22%20stroke=%22#00f%22%20stroke-width=%2213.22890264%22%20stroke-linecap=%22square%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 }, "design": { "graph": { "blocks": [ { - "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "id": "8ba682c2-8806-47ab-bbad-f3a4e88db079", "type": "basic.input", "data": { - "name": "", - "clock": true + "name": "a", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 192, - "y": 136 + "x": -96, + "y": 184 } }, { - "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", "type": "basic.output", "data": { "name": "" }, "position": { - "x": 680, - "y": 184 + "x": 392, + "y": 224 } }, { - "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "id": "dfdb1303-2dda-4f32-a991-8d814f642bb1", "type": "basic.input", "data": { - "name": "", - "clock": false - }, - "position": { - "x": 192, - "y": 232 - } - }, - { - "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "name": "b", + "range": "[31:0]", + "clock": false, + "size": 32 }, "position": { - "x": 456, - "y": 64 + "x": -96, + "y": 256 } }, { - "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "id": "c9b95091-7c99-448b-9934-18e096717eeb", "type": "basic.code", "data": { - "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], "ports": { "in": [ { - "name": "clk" + "name": "a", + "range": "[31:0]", + "size": 32 }, { - "name": "d" + "name": "b", + "range": "[31:0]", + "size": 32 } ], "out": [ { - "name": "q" + "name": "ge" } ] - } + }, + "params": [], + "code": "assign ge = (a >= b);" }, "position": { - "x": 384, - "y": 168 + "x": 88, + "y": 184 }, "size": { - "width": 232, - "height": 88 + "width": 240, + "height": 136 } } ], "wires": [ { "source": { - "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "block": "8ba682c2-8806-47ab-bbad-f3a4e88db079", "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "clk" - } - }, - { - "source": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "q" + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "a" }, - "target": { - "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", - "port": "in" - } + "size": 32 }, { "source": { - "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", - "port": "constant-out" + "block": "dfdb1303-2dda-4f32-a991-8d814f642bb1", + "port": "out" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "INI" - } + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "b" + }, + "size": 32 }, { "source": { - "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", - "port": "out" + "block": "c9b95091-7c99-448b-9934-18e096717eeb", + "port": "ge" }, "target": { - "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", - "port": "d" + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" } } ] } } }, - "36136f5402eda12dae09f970a125a3f06c4bff93": { + "d5bdd7a992a6059cc78d3174c69190ccb6ed9692": { "package": { - "name": "sum-2p-8bits-carry", - "version": "0.1", - "description": "Sumador de 8 bits, con acarreo", - "author": "Juan González-Gómez", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "32-Reg-rst", + "version": "0.8", + "description": "32-Reg-rst: 32 bits Register with reset. Verilog implementation", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 }, "design": { "graph": { "blocks": [ { - "id": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 560, + "y": 64 + } + }, + { + "id": "36fccd77-8921-45ec-b4f9-9dd209acaefa", "type": "basic.output", "data": { - "name": "c" + "name": "nc" }, "position": { - "x": 816, - "y": 80 + "x": 1184, + "y": 72 } }, { - "id": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "id": "93c58f23-2a4f-40ba-8cc1-80e434606d4e", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 144 + } + }, + { + "id": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "type": "basic.input", "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 + "name": "rst", + "clock": false }, "position": { - "x": 200, - "y": 176 + "x": 560, + "y": 168 } }, { - "id": "499982c7-82a1-4242-bd6b-8c451083ca95", + "id": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "type": "basic.output", "data": { "name": "", - "range": "[7:0]", - "size": 8 + "range": "[31:0]", + "size": 32 }, "position": { - "x": 832, - "y": 264 + "x": 1184, + "y": 224 } }, { - "id": "113cd79e-1b76-4860-b465-34306703725a", + "id": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", "type": "basic.input", "data": { "name": "", - "range": "[7:0]", + "range": "[31:0]", "clock": false, - "size": 8 + "size": 32 }, "position": { - "x": 200, - "y": 264 + "x": 560, + "y": 272 } }, { - "id": "a8d15f9d-bba5-432f-b698-17964638c83a", + "id": "f1022de4-0225-43d7-92d3-451161ee6942", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 560, + "y": 376 + } + }, + { + "id": "9544d010-d8ad-4946-b068-6b9273721873", + "type": "basic.output", + "data": { + "name": "nc" + }, + "position": { + "x": 1184, + "y": 376 + } + }, + { + "id": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 864, + "y": -80 + } + }, + { + "id": "2947d492-028d-487a-83fa-b2f84a2e2d2f", "type": "basic.code", "data": { - "code": "wire [8:0] temp;\nassign temp = {1'b0, a} + {1'b0, b};\nassign s = temp[7:0];\nassign c = temp[8];\n//--test", - "params": [], "ports": { "in": [ { - "name": "a", - "range": "[7:0]", - "size": 8 + "name": "clk" }, { - "name": "b", - "range": "[7:0]", - "size": 8 + "name": "rst" + }, + { + "name": "d", + "range": "[31:0]", + "size": 32 + }, + { + "name": "load" } ], "out": [ { - "name": "c" - }, - { - "name": "s", - "range": "[7:0]", - "size": 8 + "name": "q", + "range": "[31:0]", + "size": 32 } ] - } + }, + "params": [ + { + "name": "INI" + } + ], + "code": "//-- Generic register with reset\n//-- Number of bits\nlocalparam N = 32;\n\n//-- Initial value\nreg [N-1:0] qi = INI;\n\nalways @(posedge clk)\nbegin\n\n //-- Reset has the priority\n if (rst == 1'b1)\n qi <= INI;\n \n else\n //-- The value is load only if \n //-- load is 1\n if (load == 1'b1)\n qi <= d;\n \n //-- In any other case the reg\n //-- keeps its value\nend\n\n//-- Connect the register with the\n//-- output\nassign q = qi;\n" }, "position": { - "x": 384, - "y": 192 + "x": 720, + "y": 40 }, "size": { - "width": 336, - "height": 136 + "width": 376, + "height": 424 } } ], "wires": [ { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "c" + "block": "d9020031-ade2-47d0-bca0-4b88fe7eaebd", + "port": "constant-out" }, "target": { - "block": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "q" + }, + "target": { + "block": "521f03e5-f364-41b0-a2b8-c7858e6debf5", "port": "in" - } + }, + "size": 32 }, { "source": { - "block": "113cd79e-1b76-4860-b465-34306703725a", + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "b" - }, - "size": 8 + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "clk" + } }, { "source": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "s" + "block": "e699a6f3-fd6f-49dc-a8d3-d35853cb8e6b", + "port": "out" }, "target": { - "block": "499982c7-82a1-4242-bd6b-8c451083ca95", - "port": "in" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "d" }, - "size": 8 + "size": 32 }, { "source": { - "block": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "block": "c97f7411-94ef-4d63-ba23-ce596ebcbb25", "port": "out" }, "target": { - "block": "a8d15f9d-bba5-432f-b698-17964638c83a", - "port": "a" + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "rst" + } + }, + { + "source": { + "block": "f1022de4-0225-43d7-92d3-451161ee6942", + "port": "out" }, - "size": 8 + "target": { + "block": "2947d492-028d-487a-83fa-b2f84a2e2d2f", + "port": "load" + } } ] } } }, - "a940efe1f00c714dc9e6cb87e570cabdc4e67620": { + "12181aafa567423f27b91da184acb1c9a94045c5": { "package": { - "name": "Registro-sistema", - "version": "0.1", - "description": "Registro del sistema de 8 bits", + "name": "start", + "version": "0.4", + "description": "start: Start signal: It goes from 1 to 0 when the system clock starts. 1 cycle pulse witch. Block implementation", "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22459.428%22%20height=%22216.83%22%20viewBox=%220%200%20121.5569%2057.369686%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M66.126%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M58.741%2032.088L49.51%2012.475l-6.45%203.724-2.07-3.583L61.895.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L57.636%2045.02s-2.848-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M105.813%2040.152l13.607%2016.672-6.917-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M98.429%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L101.582.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L97.323%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + "image": "%3Csvg%20width=%22223.262%22%20height=%22223.558%22%20viewBox=%220%200%2059.071472%2059.149732%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-79.271%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ctext%20y=%2279.431%22%20x=%22124.459%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2279.431%22%20x=%22124.459%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M114.284%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22translate(30.94%2013.308)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 }, "design": { "graph": { "blocks": [ { - "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 80, - "y": 176 + "x": 296, + "y": -48 } }, { - "id": "b2345de6-0f12-4398-b563-99fa8453efa1", - "type": "basic.output", + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", "data": { - "name": "q", - "range": "[7:0]", - "size": 8 + "blockColor": "yellow", + "name": "clk" }, "position": { - "x": 568, - "y": 208 + "x": 448, + "y": -48 } }, { - "id": "efa5aff9-6233-40da-ab03-9aa804a38156", - "type": "basic.input", + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", "data": { - "name": "", - "range": "[7:0]", - "clock": false, - "size": 8 + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" }, "position": { - "x": 80, - "y": 232 + "x": 344, + "y": 120 } }, { - "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 656, + "y": 216 + } + }, + { + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", "type": "basic.constant", "data": { "name": "", - "value": "0", - "local": false + "value": "1", + "local": true }, "position": { - "x": 352, - "y": 80 + "x": 488, + "y": 104 } }, { - "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "type": "basic.code", + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", "data": { - "code": "localparam N = 8;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n q <= d;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "d", - "range": "[7:0]", - "size": 8 - } - ], - "out": [ - { - "name": "q", - "range": "[7:0]", - "size": 8 - } - ] - } + "info": "System clock", + "readonly": true + }, + "position": { + "x": 304, + "y": -80 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 216, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "35c5d8da-dcf3-4f49-a4d9-02e1cb4f8bf9", + "type": "basic.info", + "data": { + "info": "Initial value: 1", + "readonly": true + }, + "position": { + "x": 504, + "y": 280 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "38b140e8-42af-44bf-a530-6ca9bbbed5e4", + "type": "basic.info", + "data": { + "info": "Initial value: 0", + "readonly": true + }, + "position": { + "x": 216, + "y": 288 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "546e6c09-c455-4f86-86d0-3afd77f4b09e", + "type": "basic.info", + "data": { + "info": "Falling edge", + "readonly": true }, "position": { - "x": 280, - "y": 176 + "x": 368, + "y": 264 }, "size": { - "width": 232, - "height": 120 + "width": 136, + "height": 40 + } + }, + { + "id": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 488, + "y": 200 + }, + "size": { + "width": 96, + "height": 64 } } ], "wires": [ { "source": { - "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", - "port": "constant-out" + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "port": "out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "INI" + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" }, "vertices": [] }, { "source": { - "block": "096f61b6-6d5c-4907-9512-e65b25969458", - "port": "out" + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "clk" - } + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 456, + "y": 184 + } + ] }, { "source": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "q" + "block": "6e4bfa72-a3b5-4c96-8e28-d8c9774c174d", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" }, "target": { - "block": "b2345de6-0f12-4398-b563-99fa8453efa1", - "port": "in" - }, - "size": 8 + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } }, { "source": { - "block": "efa5aff9-6233-40da-ab03-9aa804a38156", - "port": "out" + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" }, "target": { - "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", - "port": "d" + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + }, + { + "source": { + "block": "73b84c02-cc43-48c8-95aa-867776ab98b3", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, - "size": 8 + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } } ] } @@ -1764,495 +14432,502 @@ } } }, - "b2090f68ef94fd3c5c0eaea93eb6ba7e80aff0b6": { + "03e6e4fa57666c3fdc325e3e8e1ea9656884e327": { "package": { - "name": "AND", - "version": "1.0.1", - "description": "Puerta AND", - "author": "Jesús Arroyo, Juan González", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + "name": "8-bits-data-PDM-1bit-DAC", + "version": "0.2", + "description": "Pulse-density modulated 1-bit DAC. Input: 8-bit samples", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20199.89632%20254.95706%22%20height=%22254.957%22%20width=%22199.896%22%20id=%22Ebene_1%22%3E%3Cdefs%20id=%22defs25%22%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-7%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-5%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-2%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-9%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3%22%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22a%22/%3E%3C/defs%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path880%22/%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4321%22%20d=%22M-2.308%200l3.46-2v4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22EmptyTriangleInM%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4339%22%20d=%22M-.508%200l3.46-2v4z%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4297%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4456%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4458%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22marker4428%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4430%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-67%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path4179%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path919%22/%3E%3Cpath%20d=%22M-58.3-45h653.6v640H-58.3z%22%20id=%22path998%22/%3E%3Cmarker%20id=%22TriangleOutM-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path972-7%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-2%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-62%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-3-99%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path854-6-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow1Mend-5-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-4%200l-2%202%207-2-7-2z%22%20id=%22path836-3-1%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22TriangleOutM-7-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path972-5-1%22%20d=%22M2.308%200l-3.46%202v-4z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-3-1%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-5-0%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-6-3%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-2-4%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow2Mend-3-9-0%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path854-6-1-3%22%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20orient=%22auto%22%20id=%22Arrow1Mend-5-2-9%22%20overflow=%22visible%22%3E%3Cpath%20id=%22path836-3-7-1%22%20d=%22M-4%200l-2%202%207-2-7-2z%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cdefs%20id=%22defs3-9%22%3E%3Cpath%20id=%22a-6%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Cpath%20id=%22path880-9%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3Cmarker%20id=%22TriangleInM-3%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-2.308%200l3.46-2v4z%22%20id=%22path4321-3%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22EmptyTriangleInM-8%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-.508%200l3.46-2v4z%22%20id=%22path4339-0%22%20fill=%22#fff%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22TriangleOutM-3-5%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path4297-6%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4456-6%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4458-4%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22marker4428-0%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4430-0%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend-67-4%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path4179-6%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3Cpath%20id=%22path1228%22%20d=%22M-58.3-45h653.6v640H-58.3z%22/%3E%3C/defs%3E%3Ctitle%20id=%22title2%22%3EAnalogsignal%3C/title%3E%3Cpath%20stroke-miterlimit=%2210%22%20d=%22M2.422%2062.46C7.56%2028.357%2012.837-5.6%2017.972%204.103c5.28%209.7%209.134%20111.864%2015.697%20116.714%206.42%204.853%2016.838-84.325%2023.402-87.607%206.42-3.137%209.13%2072.915%2015.55%2068.06%206.566-4.85%2016.98-100.45%2023.4-97.167%206.565%203.28%209.133%20115.004%2015.698%20116.714%206.422%201.572%2015.552-105.3%2023.401-107.013%207.705-1.57%2015.551%2092.32%2023.4%2097.171%207.847%204.993%2018.262-61.5%2023.399-67.918%205.139-6.563%205.139%2024.256%207.85%2029.105%202.569%204.855%205.136%202.428%207.705%200%22%20id=%22path10%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%224.845%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20id=%22text849%22%20y=%22178.35%22%20x=%2291.624%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2247.637%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20stroke-width=%221.662%22%20stroke-linecap=%22round%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22178.35%22%20x=%2291.624%22%20id=%22tspan847%22%20font-weight=%22500%22%20fill=%22green%22%20stroke-width=%22.928%22%3E1-bit%3C/tspan%3E%3C/text%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Ctext%20id=%22text1419%22%20y=%22254.143%22%20x=%2229.211%22%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2257.487%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20stroke-width=%221.437%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%22254.143%22%20x=%2229.211%22%20id=%22tspan1417%22%20font-weight=%22700%22%3EDAC%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1588403710364 }, "design": { "graph": { "blocks": [ { - "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "id": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -8, + "y": 64 + } + }, + { + "id": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 136, + "y": 64 + } + }, + { + "id": "5f87e9b7-1779-458c-bc7c-309f0729f389", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 760, + "y": 168 + } + }, + { + "id": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "yellow" + }, + "position": { + "x": 128, + "y": 208 + } + }, + { + "id": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1040, + "y": 272 + } + }, + { + "id": "522562d6-e08d-4fc4-bd1a-77e36285a950", + "type": "basic.outputLabel", + "data": { + "name": "next", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 136, + "y": 312 + } + }, + { + "id": "48c8c876-6805-42ad-a076-aaab0c0ab088", + "type": "basic.inputLabel", + "data": { + "name": "next", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 624, + "y": 360 + } + }, + { + "id": "c32e616e-e969-43c5-a253-cdabf0026d8c", + "type": "basic.outputLabel", + "data": { + "name": "din", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 344, + "y": 392 + } + }, + { + "id": "b6fae20e-71c2-43a3-a076-42a82e71e432", "type": "basic.input", "data": { - "name": "" + "name": "din", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 0, + "y": 408 + } + }, + { + "id": "45cf5078-8fb4-4f37-be3b-93480aa9f98c", + "type": "basic.inputLabel", + "data": { + "name": "din", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 144, + "y": 408 + } + }, + { + "id": "a8323f61-6c70-4636-b53e-0f0dc84b77ff", + "type": "basic.info", + "data": { + "info": "Accumulator", + "readonly": true + }, + "position": { + "x": 304, + "y": 216 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "12428847-e480-477f-be65-13b493b6c88c", + "type": "basic.info", + "data": { + "info": "Add the incoming sample \nto the accumulator", + "readonly": true + }, + "position": { + "x": 464, + "y": 216 + }, + "size": { + "width": 200, + "height": 56 + } + }, + { + "id": "09c0d134-7bc6-4b40-b080-cc4804ea38a8", + "type": "basic.info", + "data": { + "info": "Incoming sample", + "readonly": true + }, + "position": { + "x": 0, + "y": 376 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "49822c19-24f6-4090-8a8b-4fffe572094d", + "type": "basic.info", + "data": { + "info": "Accumulator overflow \ncarry set (MSB)", + "readonly": true }, "position": { - "x": 64, - "y": 88 + "x": 672, + "y": 248 + }, + "size": { + "width": 184, + "height": 56 } }, { - "id": "664caf9e-5f40-4df4-800a-b626af702e62", - "type": "basic.output", + "id": "1a3265e7-53df-4072-8fc7-8e98abfc43da", + "type": "basic.info", "data": { - "name": "" + "info": "Pulse-density modulated \noutput signal", + "readonly": true }, "position": { - "x": 784, - "y": 152 + "x": 1032, + "y": 208 + }, + "size": { + "width": 200, + "height": 56 } }, { - "id": "97b51945-d716-4b6c-9db9-970d08541249", - "type": "basic.input", + "id": "d98849ca-6a50-4042-b702-7be7970adf88", + "type": "basic.info", "data": { - "name": "" + "info": "# Pulse-density modulated Digital to Analog Converter \n\n", + "readonly": true }, "position": { - "x": 64, - "y": 224 + "x": 216, + "y": -96 + }, + "size": { + "width": 648, + "height": 72 } }, { - "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "type": "basic.code", + "id": "59d33c5b-518d-4ad2-9887-fbba5269dab4", + "type": "basic.info", "data": { - "code": "//-- Puerta AND\n\n//-- module and (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a & b;\n\n//-- endmodule", - "params": [], - "ports": { - "in": [ - { - "name": "a" - }, - { - "name": "b" - } - ], - "out": [ - { - "name": "c" - } - ] - } + "info": "## Credits\n\nThis module has been ported from the [Tiny-synth project](https://github.com/gundy/tiny-synth) \ndeveloped by [Gundy](https://github.com/gundy)\n", + "readonly": true }, "position": { - "x": 256, - "y": 48 + "x": 1024, + "y": -88 }, "size": { - "width": 464, - "height": 272 + "width": 496, + "height": 184 } - } - ], - "wires": [ + }, { - "source": { - "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", - "port": "out" + "id": "c31580f2-9d11-4333-b418-84926d62613f", + "type": "36136f5402eda12dae09f970a125a3f06c4bff93", + "position": { + "x": 488, + "y": 288 }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "a" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "97b51945-d716-4b6c-9db9-970d08541249", - "port": "out" + "id": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", + "type": "58ed2b5c7e33e2f215ccba6c101d9ea4ff60f284", + "position": { + "x": 896, + "y": 256 }, - "target": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "b" + "size": { + "width": 96, + "height": 64 } }, { - "source": { - "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", - "port": "c" + "id": "fc56afbf-6c9e-4918-8593-56dae61b9462", + "type": "0def50623faeed7109f748bd0bc8806752be9159", + "position": { + "x": 288, + "y": 272 }, - "target": { - "block": "664caf9e-5f40-4df4-800a-b626af702e62", - "port": "in" + "size": { + "width": 96, + "height": 64 } } - ] - } - } - }, - "b959c256104d1064a5ef7b38632ffb6eed3b396f": { - "package": { - "name": "Biestable-Set-Reset", - "version": "0.1", - "description": "Biestable con entradas de Set y Reset síncronas, para poner y quitar notaficaciones de eventos", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20234.62951%20290.44458%22%20id=%22svg30%22%20width=%22234.63%22%20height=%22290.445%22%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(3.47%203.198)%22%3E%3Cpath%20class=%22st1%22%20d=%22M21.358%20145.947a63.75%2063.75%200%200%200%201.152%2011.049%22%20id=%22path9%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3C/g%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ + ], + "wires": [ { - "id": "9f09a4af-8f7a-45c3-af7b-293a244e76d9", - "type": "basic.input", - "data": { - "name": "", - "clock": true + "source": { + "block": "f0f84554-d7fd-4594-b042-5358d3ab4034", + "port": "out" }, - "position": { - "x": 200, - "y": 64 - } - }, - { - "id": "3ae2d46d-7981-497a-899f-b60bfae0f43e", - "type": "basic.input", - "data": { - "name": "set", - "clock": false + "target": { + "block": "64705ba5-88b2-4c3b-a49d-7d66ee96e556", + "port": "inlabel" }, - "position": { - "x": 200, - "y": 152 - } + "vertices": [] }, { - "id": "1cb167a4-9e2a-416b-803e-da7b6151eaa5", - "type": "basic.output", - "data": { - "name": "" + "source": { + "block": "76c541d8-b0ae-4475-8bcd-b696e33b70b5", + "port": "outlabel" }, - "position": { - "x": 720, - "y": 152 + "target": { + "block": "fc56afbf-6c9e-4918-8593-56dae61b9462", + "port": "096f61b6-6d5c-4907-9512-e65b25969458" } }, { - "id": "86eb8c81-17fc-4371-bd21-51f429191f3c", - "type": "basic.input", - "data": { - "name": "rst", - "clock": false + "source": { + "block": "5f87e9b7-1779-458c-bc7c-309f0729f389", + "port": "outlabel" }, - "position": { - "x": 200, - "y": 232 + "target": { + "block": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" } }, { - "id": "bf12a800-db30-4289-a7c5-8c08438f9a39", - "type": "basic.constant", - "data": { - "name": "", - "value": "0", - "local": false + "source": { + "block": "c31580f2-9d11-4333-b418-84926d62613f", + "port": "499982c7-82a1-4242-bd6b-8c451083ca95", + "size": 8 }, - "position": { - "x": 464, - "y": 0 - } + "target": { + "block": "48c8c876-6805-42ad-a076-aaab0c0ab088", + "port": "inlabel" + }, + "size": 8 }, { - "id": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "type": "basic.code", - "data": { - "code": "reg q = INI;\n\nalways @(posedge clk)\n if (set)\n q <= 1'b1;\n else if (rst)\n q<=1'b0;", - "params": [ - { - "name": "INI" - } - ], - "ports": { - "in": [ - { - "name": "clk" - }, - { - "name": "set" - }, - { - "name": "rst" - } - ], - "out": [ - { - "name": "q" - } - ] - } + "source": { + "block": "c32e616e-e969-43c5-a253-cdabf0026d8c", + "port": "outlabel" }, - "position": { - "x": 400, - "y": 120 + "target": { + "block": "c31580f2-9d11-4333-b418-84926d62613f", + "port": "113cd79e-1b76-4860-b465-34306703725a", + "size": 8 }, - "size": { - "width": 224, - "height": 128 - } - } - ], - "wires": [ + "size": 8 + }, { "source": { - "block": "9f09a4af-8f7a-45c3-af7b-293a244e76d9", - "port": "out" + "block": "b6fae20e-71c2-43a3-a076-42a82e71e432", + "port": "out", + "size": 8 }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "clk" + "block": "45cf5078-8fb4-4f37-be3b-93480aa9f98c", + "port": "inlabel" }, - "vertices": [ - { - "x": 336, - "y": 104 - } - ] + "size": 8 }, { "source": { - "block": "3ae2d46d-7981-497a-899f-b60bfae0f43e", - "port": "out" + "block": "522562d6-e08d-4fc4-bd1a-77e36285a950", + "port": "outlabel" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "set" - } + "block": "fc56afbf-6c9e-4918-8593-56dae61b9462", + "port": "efa5aff9-6233-40da-ab03-9aa804a38156", + "size": 8 + }, + "size": 8 }, { "source": { - "block": "86eb8c81-17fc-4371-bd21-51f429191f3c", - "port": "out" + "block": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "rst" + "block": "97265910-fa2f-4505-bdc3-7aa7c75f89d6", + "port": "in" }, - "vertices": [ - { - "x": 344, - "y": 248 - } - ] + "vertices": [] }, { "source": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "q" + "block": "c31580f2-9d11-4333-b418-84926d62613f", + "port": "eb43abda-e818-4a4a-a2dd-890d780ac19a" }, "target": { - "block": "1cb167a4-9e2a-416b-803e-da7b6151eaa5", - "port": "in" + "block": "b4a606e5-3172-4e59-b6e8-a38973dc3e2d", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" } }, { "source": { - "block": "bf12a800-db30-4289-a7c5-8c08438f9a39", - "port": "constant-out" + "block": "fc56afbf-6c9e-4918-8593-56dae61b9462", + "port": "b2345de6-0f12-4398-b563-99fa8453efa1" }, "target": { - "block": "90068dea-9e7b-4a0f-afa3-e6585d0d8542", - "port": "INI" - } + "block": "c31580f2-9d11-4333-b418-84926d62613f", + "port": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162" + }, + "size": 8 } ] } } }, - "78883534cc395de33e147afa9e840cd132d67e21": { + "36136f5402eda12dae09f970a125a3f06c4bff93": { "package": { - "name": "ROM-Memory-8KB", - "version": "1.0-", - "description": "8KB ROM memory (synchronous)", - "author": "Juan González-Gómez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%2087.168922%2097.9985%22%20height=%22370.388%22%20width=%22329.457%22%3E%3Cg%20transform=%22translate(-159.832%20-108.718)%22%3E%3Cimage%20xlink:href=%22data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAgM0lEQVR4Xu1dCZBU1bm+PdPTM8CM%20AgMO+yCrAq4oGjWKIIorcYnRlD59saImGlOaqK/UPPeHu5VyjyYuMRu+uFVEjRqX4FNRQURwQVxQ%20YdiGZQYGepZ+33frfK9Oneq+t+/0zHTad/+qU7fv7bt0n/8///L9/znX+1ZTTDHFFFNMMcUUU0wx%20xRRTTDHFFFNMMcUUU0wxxZToofuX8XPo82LqcLaZUhUAMbucraKi4viysrI9jCBkp5gybKBH0un0%20cuy3URC6WwiSXvcRmV9ZVVV1azKZPBvN69+/vzd06FBv2LBh1mkxff31197ixYvJfa+1tfUnHR0d%20x7W1tc3HV2kJQakJQBmZjzYUo99n/qRJk7xTTz3V69WrV5bTY/rmm2+8u+++29u6desO2L0cAnCq%20YXy61AQgYe5bBebvB9XvJRIJ79hjj/VSqZTX3t6e5ZKYBg0a5B133HHenDlzPPRZLQ5VG+a3lpwJ%20kPoH83uT+Ww77rhjCPNjYh+Z/hqK3T5oW9C2obWXlAaQAMCm9SovL/cGDx7sQaWFXBbTTjvt5AsA%20+qwOu7SVSTnOpSgASdBkSjTtPhybkMtioomkyWTjLvmjSK0UncAkJLmMAgDKUwBiqqur81atWkUt%200Bcmc2XJaQCBPtqCvMrKyjztf0wIm9lp1AbjW1paPrIwlUzJaAAJAKgajbYtTwGIaeDAgd6KFSv8%20zmMfloIJcCFf3rOCDT7AKAgAAY78nMCYqC3lB/Q1/Zgy4WB7AERcVAFIiPE333zz6JEjR565ffv2%20Xlu2bKm58847d2psbKQAhGiAmOQr1dTU+J8PPPDAE37wgx8MhgO9BeZg++bNm18588wzXzYQcXtX%20CUGii5ifuuyyy8YD7Fk4YMAAOTHelVde6X300UfejBkzPAhGwG1i5ksA2HdPPfWUd/LJJ7NRczKM%209iOp55577oyzzjrrvwkOGUHIFFsDJIya6nPQQQfdSweGKoyNAgBNIIcmRxQQM15ELcl9oKdU/4yh%202W/sR/anvz98+PD/wqnPozUZc9BeFA3gMv/GG288CgLwaL9+/by+fft6q1ev9gXgqKOO8v/MEUcc%204UOdJUxiUgSmRhv59va3v/2tnztBv9J0+k40Bxe16fLly2effvrpt1EIlCfobg2Q0FYq34J7q6ZN%20mzZ8v/32u5nSKonllhKrPACOyQcofQEonPlZma7GZ6jPFD5v27bN69Onj78/evTon/34xz9+/v77%20719s+NFup5OjOoqJKA7ezjvvPAuM7QuGJkBlyFtXgNkzMbrHUXUx3Utmf/XVVz7zTzrpJL9TTjnl%20FK+EieYrAuO1H8r8nBrgb3/7m1dbW+vNnj3bfzY165AhQ7zPPvvM9wlWrly5DFnD5yAUaQhMB4Qk%20g/My0LxPn3POOQuiOIqJPCDd1IMPPnjiiBEjHiFzObK15Y9Zs2aNn+Ovrq5mKtP74IMPlPjxfvGL%20X3ggCkKpC0AhjNdxfbZb1u9ffPFFb8OGDd4dd9zB+gBv/fr13pgxYzw62OzzTz75hKaWfc5+5jFt%20aX5/hrT7gzQPchQ7awLKZOPB4Nly7CQAbBzlUv38/P7773vQEs0vv/zyckhpNTpkNK6X+i911a/P%20UUe8y2jdI6tgiMj4t956axGFb88996wD0wfRDJDp7G/wQ+l18kJbaoo7jjzyyL8/++yzX8tR7JQG%20EPPRasHQT/FwPkBCwCaJ8zXAhx9+6Esp4lVJqXfDDTf42uDggw/uSVsscjtd+1Gu1/lsETRAkLoP%201whLlizx2+9//3uNbm/8+PHe22+/7e2yyy5ECmluXQ3A5kdeTz/99OlwIOfiVs0mZMwUpAEA6pDx%20fKgEQM6ez4w33niDQuDbLVJzczO/U1hDFdqVjC/cMYt+vYRAx8JUvCtA+Qinew/aeoZ+ZDAjAZ/p%20L730EiMEAkbsY35HXnDLfqdJZn/3poOO1kIB6KwJSAjWBZOXbtq0acK6det4c7v5Dx83bhwZQcEg%20w33mAwFkR7goYE8LghgSpgGiMknfBal7Nff5bIHnsx+5hZPN/vQZrKTaPvvsw/IxagGZYTX1/Qo4%207B8Y/y1RSBiokKIDCN9//upXvzoRUjgMzKxgBMBIgIQQpQwJjElQRymZBgoAnRj9GVzTE/Y5aDQV%20cr39XRRV79p6CQKZlfV7HaPZlPYk0zm6JQDIEHKkL2tqatrcAWIEwMvwfevatWu/efTRR1+wI4BC%20BYA32o625dprr32R/gBab3NdObzSGoAWp+AHp+QfSOVLimWnihSjizGFXu9+7zLVfYaOaWt/lkl0%20Ge+eRy0qbIX7cvoIq484/vjjH4BGbrJCvq1oG9BWo20zqj/U9iZC1H81mY5q1csQ6x+KeHSkHQbS%204VMRA4/RLr3zzjsefYbXXnuNjTbMb98KClf37ne5jkkLZDUX7Nd//vOf3ne/+13vkEMO8Xr37s1I%20gJqAZsHXrtAATB3bTiCPrYXf8NpvfvObG8GHz4UWFuIElkGl/BwO3r+7IaCwfkqlnEH+6LFjx3Kf%20zJc0f9vyAO7IzmfUZ8MW3OO6BweStGd6t912S5Hpb775pjd58mSli8lw5Q3kBBIbGIhrT0QSbgTM%209jGqJ+iMACivX4VM1BnCAOwmW88fy+8XLFjAH9R49NFHPwNPNA3I8mgcHwTp/bYIQC47HmYqcp7j%20OpiuxnjssceW3X777f/D/YcffvhwhIH1+++/vwYd+10aQELBRuRw3/PPP38s0vGLFQayRRWASrQ+%20YF6N7BCbGwYyTUnmm+rf/n/+859P5+df//rX3scff+ypIORbQLkAnSAH0T2WCxDKeg5yLBP/9Kc/%20TbSdwHnz5tEcKMyWj2BjATQhHIzjcJtPjA/XHlUDCAPoDa9T6kXhhv9gMXbhwoW0RYxTqf4JBUtA%205PCUPBLo2m2X+focgQLxAWL+ep4YTJyFnxsaGuhnMSlE6F0mVlv6ATzOaKHNDOLyzkQBSgKVY87a%20vXBEzqVU8eZ8iJhKdJB2CRgBpZPHZaPowRbTB3DVaqHXh4V67oiOKgTaJ+MY40sA5PlL8xJsI/PT%20mE+YIlDEczTYYG7pN9BJnHfvvfd+lk9NYTIkBGy9/PLLn5syZcoqPHRnaIIqU6yYAGMpWeWoA9h1%203333HQfG0ymk6uEPlQAwj01hKVUBEEPz9eZzYQt5h6LLli0jw3k+4XRXALjPnMt6wL3zySOc126u%207QBrtmOQrnnmmWfeVh1hZ5NBHWS+iS03zp8//2O0tSY3UG5a6phjjhkPp2Sc4n/lsCWRaMUe/WJM%20IdcGaQD+vyBgxx7pYc/loGHmz36WgCBbAJgXGAwsoOamm26aJ0ab1kJ+oTWibdF3hQhAC8KQjtNO%20O+0QPPBgSFh5whCksgwmYJjtINIUfPnll2SARkexBUCd35UCQIa710SCjd3vlTHl6Hc1Co9xS5yf%20dl8a4fDDD5+2xx57jAAPVA/gI7eoKVz4/PPPz4EWkAB0RBWAhGl8SM0FF1xwPx4yXo6dtgR7Nm7c%20qOofZgVZrsSctf8ZxOOlYv+DGGcLM7dhYV02LRAaKXz66adZsQH6A6NGjfK++OILf4ChLoMCwHQx%20ne8x6G95/0oaTdh1112PQ6Rw8vXXX/9GZ6FgqviKH/7wh6cB5h2fDQPgD6Dal+3HH6D6WoLpzSuQ%20H6hFR00pCQEITwa5zHcdvygYQFYBBYbPweQy33/ek08+OR9+1DqM9tqJEyfuB6bT0dM6C9II8hNk%20LmrgnJ+Nr98xvGyPggMIBOoNaZqZCwOgACgWfe+99wgHUyv0wxz3fvBOK1naDCqeAES3wdkydmJ6%20ULVPlJSve4whm7z+rNfuvffew1BnMYz9iMGYBhiUAj7AwWfnB1xAiP1eTx4aHKA1qgmoQOuFZMM2%20Le0iVS8hkF2aO3euX6Sw++678/gQNP04tmJhAO4oDTtH4Wouu5+rHiDoWNjz+Tyqdqn+XGsGDGEx%20CJnKfqYJIBhUX19PbMBGAwXPU5swZE8aAWg2g7o9igDwghTU+st4yIEo8qR614PUuNYPcQB2gh0B%20KEnEzz2NAopRQUwPvc62+7YwhJHLePd+7rmI5VnxG6hdpGnJZEHAsPF+4ccLL7ygzKsGp2oFeXyp%20GchlnU0Hd1xzzTXzZs2add306dMPwg1T6AS/yIC1AHhgAn+gDAKyN+yRoGEJQE+HgTbTIwhA4LlK%20bbueea578ry8439oVwI2YfWECv2k1qVdOcqX4bNqAjIQlAySRh1pELTywoceeuhFjfqoAkCOtZmc%208mbY8vfQvsF+jSTKXFcJ3P80FSdasCVbT6OAfE6AAETzB8TMELJHdyR4mBEUwrW8tAqFRFgAeKuq%20HxZ/1iE8nwsHkuq2VcCdUfmN5J3hYVvUbGDGXNTCm8ABqcVExYGQsio0nl9ObYBc9QGIS4fpB0kA%20ILHqCDGm2GrfPTdSvt9toKAQMBQSpsqX05cHUdXbA0ueP0PAHe67776TkSz6K/q8FfdrY8PnXsBi%20Nr/yyiubyMNOFYWqnBiFIMfAAbnBjQA0H4CMFvYPtUMcm99zCpPjHUemqPa7K+bm2aPfbi4zXSaH%20ZvtssAfMsZy+cGKU8Prrr8u790w6WFp28HnnnXc+czJ2JMDt2Wef/QjC+EsiQcH2TKCf/vSnoydM%20mEDm55wPIPWPknCqpmY4i58atTUGm2qZgH/xtK6Y7gI/dtMxCbUNCGk/0PaT6eifKMzX/IA0/IWl%20uI4O9xhA8tUHHHAAGc0+Z3OxAG4ZIfzb7373u0U/+tGPHrDKwzKhGkBz/hBrni6VLqbbn/VgxPy0%20aXT81iFV2c7vlL9mKxIFedZBJVuuANgYiC0IWa9xBcl2hOnxa7Z0BGJ+IAVktZ1C9eqrr34BQGgS%20J+DstddedmGIRr6azAUHYq+gxFAyFwYAhlbxB8vDd6Fgph5ZnkxnBhlBerUjEaeOxHcMGYVs9SST%20XTXunhNUjp1LACT4OuYyOFeuwN5nH3WK+boe4NpkMpU8QG6GU8c4SYSwO7Ot2YpCOCiJMFYRC5Av%20EMUEpABQLCHowHBF8/5tIu6PQlECEtQGFBTNExDzu0MAwpgpFet+F8z4cAFwr8l79EMrkhkF9YVU%20vJjNmgCG2iy+Yf/zmO1n0G8gwTfYbkVuiUg4wK233roIocYcqJyD8cBBkmp1Do41IzlBhldbtqi7%20BUCMCMvURREAXeMCP3axRdSmkS9MpCBBp++AbKwPCilFvMMOO6QBCDUCgh+kCEihMPiyEY7466gL%20fKJTOIBSwZjd+zi2LErsh1apKiFeN3PmzJFXXXXVLI4ONcs77Q4BiFKCnU0AwkZ8wPGiMF8CYDt6%203FcVdurxxx9fftttt82x5gZ0GOx/o5kfsDXIASQlA0CgJrQNmIo0GGqmL6SrEq2cNQE1oDPOOMNO%20FPFHUvq6QwDEvDDmh2mIfBnsRgNhQuPmDKj2HeYXLADC/O0ld7iG0IHAFRrhiK8jBsCqIPAijRxN%20K2oCGslDGwyKBAQh3kxdcskls4Et7207f3LyiEopSmBCg3XrBDlce1kA5a3m3a0oDxtv2+9sQpS3%20AMj/IMgjh68ryHj/nC1MH4BRAZNzFAiqetZsHMucjJw/YQFQ/w1wFn+C6myiuB1RcAC2sl/+8pdX%20wM67zBfmLxDIF4SlS5d6tEfwTL8CQOSvC1CQAIQzsKsFINu9o5gHxfnsj67WfGT6avCigWX3eMZw%20ONx08MgHNTcEZBsEMz0bAjA1ihOoKWG9MPIPs3EAu5FUC0AQCCaCKGB/ABX9oY5YKl6IBsjmXefj%202UcVAG2D7mufn2ufI94N9bpSANi/dejbOo5sMp9l44DoyQOZBxcHUCZ2l1tuuWVfDOZ5uXyBZI4J%20IdX4MzVcm8bWAPqsCSFaFwDlR5ocomyVmNYVDl4+4E22e0URAp0fKgDudWQ6R77sc3cQw0j5W0Bn%20WSPgm1xUCPG4iwOo0VQTla0jT+ULBGoAe0oY2lrUAwxEuKFRL/LVHNEoAD+Cg9kEQ0b3AcLj8rDz%20omiTIEdOlJXZrhDQBrP+UdhDdxHnY7hRAMwBcQD6AxRCt8BUi3RsRV3gEmEBkSaGYC7aLUgo/BwP%20GOJIvyYhNIL5/dHo/EkACBw5AhCZ8Z3VBtrm60i69wtkvnsNRxdr+XR+dxL7VQIgGB7amRnBZvgC%201RRABwsgGLQBcwcell8XFQdIo7hzKdq12B9gagHKhQPAIemDdQG+B+9TDiG3MhH5hoFBuHoU2DYb%20sztjXkKZr+JQ+DkclT2V6+AAk523cQGO8hRqAh7FHMxG4QDWWgHr0b4JWysgmQsEQtuMdOIQePf7%20EHTgqiBs6IAylCmPB0pYazkg/DFkJrdibHeM+nyAHzdTx2ORwkqX6fpMJI7MVxlXTxEdTJob8IH4%20ggSAWjh1xRVXHIEw/CPwhrzrIBaAz61AY1fAAfyQwhBFADISgj/+8Y8XA+s/xnUCyWyqPjJY8wEB%20OvDHsMlOhavjcMZHdwjdz+Fz/NTC7D4FW/aex3o6s0lnjn3PWgtlArlPSHggVmEbyLDQxQJQyLMP%20VhI5KbQs3MUALr300t3g3dvMd2sB1DhT1fcHnnjiiQWQ0jTO3x+d3j+LKg5KowYlVKLCv0EZw7yF%20T0zWdGsCMPq+GHTPPffM5fb73//+RDjg9ZivybUZqA1yYgHEcTBJ9ORzzz33/rxwAM35w41HK8HD%20G7lND+V8AGqBzz//fC1CkmGQ0ibkvSukItGij/rw40HMLhROVpO3rVCqaIyXFoPJHQbTm0IWdhPg%20efa9qoPCagJ2dsJABwfI8ro3jOKBzOgx3Wvj/ZrpQ3XDV50yHJw6dSqxgPEqB4MmYDawMyO5s0IQ%20ZRHH0BBTWkjhF4RZPkVRi1sOPfTQ3ckPMpYTQ7B4J00vcQGtHqowXIJAU81ooNKE9Vt4q7xwAEw8%20+AATPbZiejKLCeT9qnFU0A7xIaoF4GeZi6Awy7afUZgdBtkGCUC+foTqGch0OnwUcLu6qWhCoNBP%20CSEyW/X/1AQ0BfJXxCsKAWdrQSNHwgEybFiteiUeeAMqUWaiKGQ4vX9QQkzla0wgWYPhgFQ7qWA6%20ShKAqCM/2A8IX6SB2yjMd59HQIUjho0CYINaxV6rmGigFoWy1wxMAxD6ElP4iAVk0Dxu2TBI1/7l%20L395CeH6gnzTwYoA0lQXUOXvo63Bfl+0XlYtQAXeZTPhoosuGuv4BBQE4eE87uL4kRkOCmJa2HSv%20sHtJtUtdkukBL7cqriBQAKQBlIvh4pwo/96ACTxPaW6AtbbjJlMTsIX7eU0N08WaXIClxsbAw+/P%20eQAqBMGDdwBCON2pVVdIqCoabiM4gpF9gfxW6Q5v9O7p5LnVy0UUgvAZQiA5fXwryxRogvVIEK1A%20n/tCQBygEoTFpj90agI68jEB7Xg7Vd0JJ5zwACRsnJsIUtZLAoC4lKEgc9U2ChhVAKIJSDj8m7NA%20k8KpEYXUNUd9rmtzrv1XDGLCiQkg+l8sxhUKC4eb8f6ReCmHu3I4X9e/CotLn4Pyvoa8cAA5gXjB%20wy1wLGzm20KglarZiQwBGW9+CWdjPYSuBh01Vh1fIMAThtVHAZzYbHVPD1/OlZzbbHP8bOZrvxg+%20AAdeM3iyDJFANXCJscy5wPYrJUy+SPvas4UH431N90AAJltQfntWAVA1MFofPGhKrsUh7algzPtD%20/SzCJJJXqV4wVWzEd77znbH6IQWp//Da/chr95PJqmZyp3y7QuvOFnaFoYeJzvVmgEHzuHPxxRd/%20hWLdaQr/0Oz1hBQNCJUdCrh4+nXXXTfXrgkIEoBqwp4QgqwLQ1DV8yFcCpZFCQgVazET9Xv4LgMk%20sBfeciFp7MwI7xTcq3131GqSijx6mC4KrJa2t+cuqtPsY0FzAXtaG/BNLLVYtncWGcx8DON/ml7k%20ajgoyRdXA3BL/4ZRjd4fIF8gpwbgfhVuuBWLE/XOUgsgTJzM5yLQFJRhPMbzaFMxdYmd1LWjPvwe%209jO1r3WMKAAaHa59dxNHbsyv79mKxXz5LJXo8xGaH4CXRpCxHIAE5qjVstUE8Dues0FYQHhJGAiv%20JLsGb5+6QLUAjj0ns1dASEY48wFUGCFJ7DTkCyoogqB9h40UiKM5dq6nL0Zrm+14zn21HiIKr6IA%20qXyVizUiUqsGNpOyBJW8oNBsxNT+R4DrNJAVYUigpoVvxyLFS9CuNrUAVB/l9lvEEF6cxMWKskwI%204YMVBvYYw2Xfqe7gILkjPWCaeiiSmAvBLEZGUAJAYVY2ln1eceKJJz4EgW9WqGdai3l/wEpNDQsL%20AwUC8UbrjLrYrhdEmJaCw3cApowPcWsEhQgqZrXCr+4UADKbsTyl3bHdoat/BWkDl8HFYr77P2TK%20JBCMBGrw3uY9Acw9SX4JEDL2fqPh5WZ+l48AqBhkE5aInQoseRJHvVkaphw2pwKJiMOUJVRySEUg%202kYEgqKkaW01z0anrpA3g7jqPSgMLHpGEIUfeo2sPUOIOMAUvGOwCcc2kfk4Rl62oXhlKV44vQrR%202tZcq4Ym3VoAFBFOwtJkD+MhQ7NNCGGihD+C+4sWLeL6gEIBJZlkfr44QKTsIB0dRBruaC90RnGg%20utfxYhMZzsUl+P/Zz8Bd6IgLDOLqYdOdCSKcScwsYhOqt/kSiTeDfICEVDyygJfCcxwaMCGEW0UD%20tEdLYHe5SHEaAjIOD+4vAegEw9193p/PItMpYFGY4nr8BQhKUUlCSTRwSRrEUjD0yVhMQUuB6WET%20RGqwoATfJLqXfARbEyQdDKAGN58hECjXhBCqXYJACBNXQgtsxLYCmmADEMGMfACdHzG8U0WrMnMc%206RGYEk0Q1LElQBR+mgCfeeiTFryq7wvwYRyqg0MniOD8oVjuZwb8t7mWEGSyCUA1VTwQJt4w66IQ%20HJGcq8b1a/EjhoDpcgg9ZKb4lhBemy+Eq9WveF8y3Y1nu2OmTcm+sOKwww7bDXMx9FoYfwvgjXwg%20b7IWhbA/oZ2prbOCQUn3RdFgwlLYmgmK69mEoWs04v0A3OcKFQR/bCGRD+AyWfdQEzKXJ4Nicl8p%20z4IQ8gUmm1PFaCa5tXkgJJSTR7ZeffXVVmFIwLwAzCO7Ce+dPQ8MrYOzVwd/oNJ6nVkzsn9fwhEZ%20i5GfctYG5CjW4sddPBpjIrOhne03hckx5kBahtC8Foxm6l4oaBpRwGpo8yYsJ/eHrNlAeYWy/2gD%200UagDTUgUDVa0i4GeeCBB46DdzkKgkEUitlAaQBWrvpATPdQTIR/8WZwaV/2O/uf6eF1KP8mk1sd%20R2+rWTRyFdoKtAZTKLLd9QGEAWwx6FGKNzD7FXqHMN4dsD8mgo5SRCA0Suaie2PlmNx3CIkPCAEH%204GWRU1GoM8fE+20WPzeaWULN2bCApAMDp7GwwPAZM2bcgCTPWNl1MZhhHx+ulcOYjWI8KjBItr9b%20KCZqVy0aSbvOWdkSCNZk7AHnfA/wxl0trAER241ICT+WbdXQpA0Cgfn1WBz6Idy0xsUAGPrZkxBY%20lWJKx9fj+zRCtjRq1uu7LayKifaeo70BvgDRwEHvvvsuy8KVKFKBi1sUMghI4e14o/hK1BE854JB%20SXtCCEq9Z0GCxHy76SFML1IYOPI5B+CvSBrxHbUMC4fADtV3mwaIic4e52FQzXdMmzat7qyzzjqB%20L+dAWl6D0y0KkbngKmOn4rqX3UUjpQG47Q3vfqAyfE4xCG/Km2ttAHr688D8BSauTAAMqu3+apnY%20BzD2PP2Pf/xjPUZ/BXhzEvqcA5P8yYYFaI5DPau93DeIJO0ZQWB8K1U7gSAw1Mb0tWwpsX+uUPH+%20hRde+FccWmuSR0mopCHd7gTGoSD7vgkDlf1ehncDbwQAVAGmz6IPBpCIfoKwG9UEsDaCQrDOAEGK%206tpdJLDsrrvuehFTig+DJI2DTbcfrPlpvNF8FI3+wYQWqylRpoqoOR8BoGBRw5QKEWHj6CoW0c8C%205q+aBgI/O+It7Yu5y+7EuwOfx7wALtc7E4JRma14FZNHGgEFP2PVdbjzAX3VUIs2DK0eteaTEQUM%20ANMrcCNSBkxrA8zbgJKv5WS8EYC1+iGwSUdhIaPrKTgAkFjD5nde4RQT7DwhdvYnczD/geXfXrBq%20NerIY/gBQ+EfjOY7HdDKMiBo7zYIxfpnn312EXEANsOzZpkBlYJXkYloO/FmFAaznzLnCCdoNjjB%20GqvQIMnzsXDkz1EldDY9VAIWXUYxUetSAKiJuBjXJXhXEEfzZjN4+5Fvhmc11oqunmZ5WWDQGsO/%20FtsEZAxztaxIh7l5L7QKCYD1FpEmtE1mmzZSSEexRmAQVFYXqfnY5tPp1mLT3Gf9n+GHnLm0ccQ3%20GE2ekgC4PNPIz1YWrhuSWs3Jmg5mv0w6bc5rMZ8T5ruyBMiablWSoJAcp39F0iv4UKU1RoW/Wv/H%208KTJ4lmZg/BuYzPntbkCkNGJVl1guWkCiXROu91sIIkkpluOYylm3f7Vw0CZbQlBq2ktFvMTzsBV%2068g1MSRjtTb3HcLOOSJ9Tqj1CMNjSjhMFmNbrWOJAJ6FTg4VReFmGbJTEzXlqlsoJsK/rL9QfO4M%20Tm2jUbKrzCcApLcBB09k0WKXU0wq+2pBle/fHVVeEBU6XCtMuDgIbRRWF7sNocoYYgHFJL3mpRTJ%20rr9Mg+BQryOz8X+ake2bAxzgVSeeby+mAPCXVpvikeFo9cAA9kKoMoCARKKI9iAD4hZzHBsAj27j%20oVIKSOR4O5M8GtC+MtsN5nhHMQVAINKOBowYpKVlLRCpmNShpo4tMQFot1/jawCdtYb5muxRkGAn%20u0BSVXmyntLqgkhsRR5BGkWZEtQCAuAU5rGfm93K3mJqAGmBCjPiq0wTIJEoYudJA2S0LWEz0GZa%202rS2YjuB7j0UmyazgEjF70B7v7Qo42oz+1iRNED4vYrOfDHc3f4/o5hiiimmmGKKKaaYYooppphi%20iimmmGKKKaaYYooppv8FlJhXILrvZ3wAAAAASUVORK5CYII=%22%20preserveAspectRatio=%22none%22%20height=%2287.169%22%20width=%2287.169%22%20x=%22159.832%22%20y=%22119.548%22/%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22176.338%22%20x=%22204.666%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2214.44%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22176.338%22%20x=%22204.666%22%20font-weight=%22500%22%3E8KB%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22204.581%22%20y=%22121.17%22%20transform=%22scale(.98866%201.01147)%22%20font-weight=%22400%22%20font-size=%2219.302%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#00f%22%20stroke-width=%22.504%22%3E%3Ctspan%20x=%22204.581%22%20y=%22121.17%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3EROM%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + "name": "sum-2p-8bits-carry", + "version": "0.1", + "description": "Sumador de 8 bits, con acarreo", + "author": "Juan González-Gómez", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-33.052%22%20y=%22195.572%22%20font-weight=%22400%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%224.441%22%3E%3Ctspan%20x=%22-33.052%22%20y=%22195.572%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%3E+%3C/tspan%3E%3C/text%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "0b91cb0d-4144-4939-8755-e331104016db", - "type": "basic.input", + "id": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "type": "basic.output", "data": { - "name": "", - "clock": true + "name": "c" }, "position": { - "x": 120, - "y": 248 + "x": 816, + "y": 80 } }, { - "id": "c03fd679-daca-495e-9b11-03779b260f54", - "type": "basic.output", + "id": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "type": "basic.input", "data": { "name": "", "range": "[7:0]", - "pins": [ - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false + "clock": false, + "size": 8 }, "position": { - "x": 936, - "y": 336 + "x": 200, + "y": 176 } }, { - "id": "29c933b0-6dd4-4ada-bd69-57faffad57fa", - "type": "basic.input", + "id": "499982c7-82a1-4242-bd6b-8c451083ca95", + "type": "basic.output", "data": { - "name": "a", - "range": "[12:0]", - "pins": [ - { - "index": "12", - "name": "", - "value": "" - }, - { - "index": "11", - "name": "", - "value": "" - }, - { - "index": "10", - "name": "", - "value": "" - }, - { - "index": "9", - "name": "", - "value": "" - }, - { - "index": "8", - "name": "", - "value": "" - }, - { - "index": "7", - "name": "", - "value": "" - }, - { - "index": "6", - "name": "", - "value": "" - }, - { - "index": "5", - "name": "", - "value": "" - }, - { - "index": "4", - "name": "", - "value": "" - }, - { - "index": "3", - "name": "", - "value": "" - }, - { - "index": "2", - "name": "", - "value": "" - }, - { - "index": "1", - "name": "", - "value": "" - }, - { - "index": "0", - "name": "", - "value": "" - } - ], - "virtual": false, - "clock": false + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 120, - "y": 416 + "x": 832, + "y": 264 } }, { - "id": "d80bfd80-1f6d-46af-b5de-5cd121ebe630", - "type": "basic.memory", + "id": "113cd79e-1b76-4860-b465-34306703725a", + "type": "basic.input", "data": { "name": "", - "list": "00\n00\n", - "local": false, - "format": 10 + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 496, - "y": 24 - }, - "size": { - "width": 136, - "height": 112 + "x": 200, + "y": 264 } }, { - "id": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "id": "a8d15f9d-bba5-432f-b698-17964638c83a", "type": "basic.code", "data": { - "code": "//-- Anchura del bus de direcciones\nlocalparam ADDR_WIDTH = 13;\nlocalparam DATA_WIDTH = 8;\n\n//-- Tamano de la memoria\nlocalparam TAM = 1 << ADDR_WIDTH;\n\n//-- NO inicializar!\n//-- Si se inicializa a 0 o cualquier otro\n//-- valor no se infiere una RAM\nreg data_out;\n\n//-- Array para la memoria\nreg [DATA_WIDTH-1:0] mem_8 [0:TAM-1];\n\n//-- Puerto de lectura\n//-- Para que se infiera una RAM\n//-- debe ser una lectura sincrona\nalways @(posedge clk)\nbegin\n //-- Puerto de lectura\n data_out <= mem_8[addr];\nend\n\n\n//-- Inicializacion de la memoria\ninitial begin\n \n if (ROMF)\n $readmemh(ROMF, mem_8, 0, TAM-1);\n \nend\n\n\n\n", - "params": [ - { - "name": "ROMF" - } - ], + "code": "wire [8:0] temp;\nassign temp = {1'b0, a} + {1'b0, b};\nassign s = temp[7:0];\nassign c = temp[8];\n//--test", + "params": [], "ports": { "in": [ { - "name": "clk" + "name": "a", + "range": "[7:0]", + "size": 8 }, { - "name": "addr", - "range": "[12:0]", - "size": 13 + "name": "b", + "range": "[7:0]", + "size": 8 } ], "out": [ { - "name": "data_out", + "name": "c" + }, + { + "name": "s", "range": "[7:0]", "size": 8 } @@ -2260,55 +14935,56 @@ } }, "position": { - "x": 312, - "y": 200 + "x": 384, + "y": 192 }, "size": { - "width": 504, - "height": 328 + "width": 336, + "height": 136 } } ], "wires": [ { "source": { - "block": "0b91cb0d-4144-4939-8755-e331104016db", - "port": "out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "c" }, "target": { - "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", - "port": "clk" + "block": "eb43abda-e818-4a4a-a2dd-890d780ac19a", + "port": "in" } }, { "source": { - "block": "d80bfd80-1f6d-46af-b5de-5cd121ebe630", - "port": "memory-out" + "block": "113cd79e-1b76-4860-b465-34306703725a", + "port": "out" }, "target": { - "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", - "port": "ROMF" - } + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "b" + }, + "size": 8 }, { "source": { - "block": "29c933b0-6dd4-4ada-bd69-57faffad57fa", - "port": "out" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "s" }, "target": { - "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", - "port": "addr" + "block": "499982c7-82a1-4242-bd6b-8c451083ca95", + "port": "in" }, - "size": 13 + "size": 8 }, { "source": { - "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", - "port": "data_out" + "block": "0305dec9-9a1c-41fc-9cb3-c1fc7a3b8162", + "port": "out" }, "target": { - "block": "c03fd679-daca-495e-9b11-03779b260f54", - "port": "in" + "block": "a8d15f9d-bba5-432f-b698-17964638c83a", + "port": "a" }, "size": 8 } @@ -2316,331 +14992,307 @@ } } }, - "ebfed3354d2f5627e64d28b4775730fcca4711fe": { + "0def50623faeed7109f748bd0bc8806752be9159": { "package": { - "name": "Corazon-tic-Hz", - "version": "0.1", - "description": "Corazón de bombeo de tics a un frecuencia parametrica en Hz", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22197.514%22%20height=%22161.086%22%20viewBox=%220%200%2052.259014%2042.62059%22%3E%3Cpath%20d=%22M22.153%2040.47c-.727-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.601-1.813-1.831-2.88-3.29-3.794-5.191a15.321%2015.321%200%200%201-1.235-3.6c-.317-1.545-.36-2.067-.358-4.342.002-2.983.1-3.48%201.08-5.47.728-1.479%201.281-2.257%202.433-3.427C5.028%202.714%205.754%202.2%207.325%201.422%209.069.56%2010.33.333%2012.93.417c2.02.065%202.759.266%204.36%201.188%202.52%201.45%204.475%203.777%205.017%205.972.088.358.18.652.203.652.023%200%20.227-.42.453-.932.77-1.744%201.484-2.808%202.62-3.903C29.06.041%2034.542-.565%2038.974%201.912c1.81%201.012%203.283%202.485%204.425%204.424.898%201.527%201.358%203.555%201.436%206.34.113%204.035-.625%206.832-2.59%209.812-.779%201.182-1.355%201.899-2.437%203.028-1.745%201.823-3.318%203.162-7.033%205.988-2.344%201.782-3.734%202.929-5.745%204.74-1.611%201.452-4.108%203.98-4.349%204.402-.105.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22/%3E%3Ctext%20y=%2266.277%22%20x=%2267.278%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2215.216%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22matrix(.99853%200%200%201.00147%20-50.645%20-44.99)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.282%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2266.277%22%20x=%2267.278%22%20font-weight=%22700%22%20font-size=%228.695%22%3EHz%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-39.33%20-27.72)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "name": "Registro-sistema", + "version": "0.2", + "description": "Registro del sistema de 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22459.428%22%20height=%22216.83%22%20viewBox=%220%200%20121.5569%2057.369686%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M66.126%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M58.741%2032.088L49.51%2012.475l-6.45%203.724-2.07-3.583L61.895.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L57.636%2045.02s-2.848-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M105.813%2040.152l13.607%2016.672-6.917-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M98.429%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L101.582.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L97.323%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "c138a610-b61f-4e7c-bb8a-c4f3b0b9f95c", + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 176 + } + }, + { + "id": "b2345de6-0f12-4398-b563-99fa8453efa1", "type": "basic.output", "data": { - "name": "" + "name": "q", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 912, - "y": 192 + "x": 568, + "y": 208 } }, { - "id": "503869f1-ddfd-4d13-93ad-5f90281ba88c", + "id": "efa5aff9-6233-40da-ab03-9aa804a38156", "type": "basic.input", "data": { "name": "", - "clock": true + "range": "[7:0]", + "clock": false, + "size": 8 }, "position": { - "x": 104, - "y": 192 + "x": 80, + "y": 232 } }, { - "id": "136e8d6d-892a-4f14-8d6d-0c5bc6c3e844", + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", "type": "basic.constant", "data": { "name": "", - "value": "1", + "value": "0", "local": false }, "position": { - "x": 520, - "y": -128 + "x": 352, + "y": 80 } }, { - "id": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", "type": "basic.code", "data": { - "code": "//localparam HZ;\n\n//-- Constante para dividir y obtener una frecuencia de 1Hz\nlocalparam M = 12000000/HZ;\n\n//-- Calcular el numero de bits para almacenar M\nlocalparam N = $clog2(M);\n\n//-- Cable de reset para el contador\nwire reset;\n\n//-- Registro del divisor\nreg [N-1:0] divcounter;\n\n\n//-- Contador con reset\nalways @(posedge clk)\n if (reset)\n divcounter <= 0;\n else\n divcounter <= divcounter + 1;\n\n//-- Comparador que resetea el contador cuando se alcanza el tope\nassign reset = (divcounter == M-1);\n\n//-- La salida es la señal de overflow\nassign o = reset;\n\n\n\n", + "code": "localparam N = 8;\n\nreg [N-1:0] q_i = INI;\nassign q = q_i;\n\nalways @(posedge clk)\n q_i <= d;", "params": [ { - "name": "HZ" + "name": "INI" } ], "ports": { "in": [ { "name": "clk" + }, + { + "name": "d", + "range": "[7:0]", + "size": 8 } ], "out": [ { - "name": "o" + "name": "q", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 296, - "y": -8 + "x": 280, + "y": 176 }, "size": { - "width": 544, - "height": 456 + "width": 232, + "height": 120 } } ], "wires": [ { "source": { - "block": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", - "port": "o" + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" }, "target": { - "block": "c138a610-b61f-4e7c-bb8a-c4f3b0b9f95c", - "port": "in" - } + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] }, { "source": { - "block": "503869f1-ddfd-4d13-93ad-5f90281ba88c", + "block": "096f61b6-6d5c-4907-9512-e65b25969458", "port": "out" }, "target": { - "block": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", "port": "clk" } }, { "source": { - "block": "136e8d6d-892a-4f14-8d6d-0c5bc6c3e844", - "port": "constant-out" + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" }, "target": { - "block": "a70d9684-3b18-4f3d-90cd-28faa893b6b2", - "port": "HZ" - } - } - ] - } - } - }, - "21cfcc19a4ad14c5fb5e8cfebd018ec356fe7542": { - "package": { - "name": "0", - "version": "0.1", - "description": "Un bit constante a 0", - "author": "Jesus Arroyo", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2233.563%22%20height=%2257.469%22%20viewBox=%220%200%2031.465601%2053.876499%22%3E%3Cpath%20d=%22M21.822%2032.843l4.092%208.992-3.772%209.727%204.181%201.31m-12.967-19.26s-1.091%208.253-2.585%208.919C9.278%2043.198%201%2049.389%201%2049.389l2.647%203.256%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%22-.863%22%20y=%2230.575%22%20transform=%22scale(.90756%201.10186)%22%20font-weight=%22400%22%20font-size=%2254.594%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%3E%3Ctspan%20x=%22-.863%22%20y=%2230.575%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%3Eo%3C/tspan%3E%3C/text%3E%3C/svg%3E" - }, - "design": { - "graph": { - "blocks": [ - { - "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "type": "basic.output", - "data": { - "name": "" + "block": "b2345de6-0f12-4398-b563-99fa8453efa1", + "port": "in" }, - "position": { - "x": 512, - "y": 160 - } + "size": 8 }, - { - "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "type": "basic.code", - "data": { - "code": "//-- Bit constante a 0\nassign q = 1'b0;\n\n", - "params": [], - "ports": { - "in": [], - "out": [ - { - "name": "q" - } - ] - } - }, - "position": { - "x": 168, - "y": 112 - }, - "size": { - "width": 256, - "height": 160 - } - } - ], - "wires": [ { "source": { - "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", - "port": "q" + "block": "efa5aff9-6233-40da-ab03-9aa804a38156", + "port": "out" }, "target": { - "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", - "port": "in" - } + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 8 } ] } } }, - "2107ac7691a91a762c2d0be100faaabd6189973a": { + "7a42b1821b732f0853f67c089664292ea1768453": { "package": { - "name": "Pulsador-tic", - "version": "0.1", - "description": "Detección de pulsación. Emite un tic cada vez que se aprieta el pulsador", - "author": "Juan Gonzalez-Gomez (Obijuan)", - "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + "name": "ROM-Memory-8KB", + "version": "1.1", + "description": "8KB ROM memory (synchronous)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20viewBox=%220%200%2087.168922%2097.9985%22%20height=%22370.388%22%20width=%22329.457%22%3E%3Cg%20transform=%22translate(-159.832%20-108.718)%22%3E%3Cimage%20xlink:href=%22data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAgM0lEQVR4Xu1dCZBU1bm+PdPTM8CM%20AgMO+yCrAq4oGjWKIIorcYnRlD59saImGlOaqK/UPPeHu5VyjyYuMRu+uFVEjRqX4FNRQURwQVxQ%20YdiGZQYGepZ+33frfK9Oneq+t+/0zHTad/+qU7fv7bt0n/8///L9/znX+1ZTTDHFFFNMMcUUU0wx%20xRRTTDHFFFNMMcUUU0wxxZToofuX8XPo82LqcLaZUhUAMbucraKi4viysrI9jCBkp5gybKBH0un0%20cuy3URC6WwiSXvcRmV9ZVVV1azKZPBvN69+/vzd06FBv2LBh1mkxff31197ixYvJfa+1tfUnHR0d%20x7W1tc3HV2kJQakJQBmZjzYUo99n/qRJk7xTTz3V69WrV5bTY/rmm2+8u+++29u6desO2L0cAnCq%20YXy61AQgYe5bBebvB9XvJRIJ79hjj/VSqZTX3t6e5ZKYBg0a5B133HHenDlzPPRZLQ5VG+a3lpwJ%20kPoH83uT+Ww77rhjCPNjYh+Z/hqK3T5oW9C2obWXlAaQAMCm9SovL/cGDx7sQaWFXBbTTjvt5AsA%20+qwOu7SVSTnOpSgASdBkSjTtPhybkMtioomkyWTjLvmjSK0UncAkJLmMAgDKUwBiqqur81atWkUt%200Bcmc2XJaQCBPtqCvMrKyjztf0wIm9lp1AbjW1paPrIwlUzJaAAJAKgajbYtTwGIaeDAgd6KFSv8%20zmMfloIJcCFf3rOCDT7AKAgAAY78nMCYqC3lB/Q1/Zgy4WB7AERcVAFIiPE333zz6JEjR565ffv2%20Xlu2bKm58847d2psbKQAhGiAmOQr1dTU+J8PPPDAE37wgx8MhgO9BeZg++bNm18588wzXzYQcXtX%20CUGii5ifuuyyy8YD7Fk4YMAAOTHelVde6X300UfejBkzPAhGwG1i5ksA2HdPPfWUd/LJJ7NRczKM%209iOp55577oyzzjrrvwkOGUHIFFsDJIya6nPQQQfdSweGKoyNAgBNIIcmRxQQM15ELcl9oKdU/4yh%202W/sR/anvz98+PD/wqnPozUZc9BeFA3gMv/GG288CgLwaL9+/by+fft6q1ev9gXgqKOO8v/MEUcc%204UOdJUxiUgSmRhv59va3v/2tnztBv9J0+k40Bxe16fLly2effvrpt1EIlCfobg2Q0FYq34J7q6ZN%20mzZ8v/32u5nSKonllhKrPACOyQcofQEonPlZma7GZ6jPFD5v27bN69Onj78/evTon/34xz9+/v77%20719s+NFup5OjOoqJKA7ezjvvPAuM7QuGJkBlyFtXgNkzMbrHUXUx3Utmf/XVVz7zTzrpJL9TTjnl%20FK+EieYrAuO1H8r8nBrgb3/7m1dbW+vNnj3bfzY165AhQ7zPPvvM9wlWrly5DFnD5yAUaQhMB4Qk%20g/My0LxPn3POOQuiOIqJPCDd1IMPPnjiiBEjHiFzObK15Y9Zs2aNn+Ovrq5mKtP74IMPlPjxfvGL%20X3ggCkKpC0AhjNdxfbZb1u9ffPFFb8OGDd4dd9zB+gBv/fr13pgxYzw62OzzTz75hKaWfc5+5jFt%20aX5/hrT7gzQPchQ7awLKZOPB4Nly7CQAbBzlUv38/P7773vQEs0vv/zyckhpNTpkNK6X+i911a/P%20UUe8y2jdI6tgiMj4t956axGFb88996wD0wfRDJDp7G/wQ+l18kJbaoo7jjzyyL8/++yzX8tR7JQG%20EPPRasHQT/FwPkBCwCaJ8zXAhx9+6Esp4lVJqXfDDTf42uDggw/uSVsscjtd+1Gu1/lsETRAkLoP%201whLlizx2+9//3uNbm/8+PHe22+/7e2yyy5ECmluXQ3A5kdeTz/99OlwIOfiVs0mZMwUpAEA6pDx%20fKgEQM6ez4w33niDQuDbLVJzczO/U1hDFdqVjC/cMYt+vYRAx8JUvCtA+Qinew/aeoZ+ZDAjAZ/p%20L730EiMEAkbsY35HXnDLfqdJZn/3poOO1kIB6KwJSAjWBZOXbtq0acK6det4c7v5Dx83bhwZQcEg%20w33mAwFkR7goYE8LghgSpgGiMknfBal7Nff5bIHnsx+5hZPN/vQZrKTaPvvsw/IxagGZYTX1/Qo4%207B8Y/y1RSBiokKIDCN9//upXvzoRUjgMzKxgBMBIgIQQpQwJjElQRymZBgoAnRj9GVzTE/Y5aDQV%20cr39XRRV79p6CQKZlfV7HaPZlPYk0zm6JQDIEHKkL2tqatrcAWIEwMvwfevatWu/efTRR1+wI4BC%20BYA32o625dprr32R/gBab3NdObzSGoAWp+AHp+QfSOVLimWnihSjizGFXu9+7zLVfYaOaWt/lkl0%20Ge+eRy0qbIX7cvoIq484/vjjH4BGbrJCvq1oG9BWo20zqj/U9iZC1H81mY5q1csQ6x+KeHSkHQbS%204VMRA4/RLr3zzjsefYbXXnuNjTbMb98KClf37ne5jkkLZDUX7Nd//vOf3ne/+13vkEMO8Xr37s1I%20gJqAZsHXrtAATB3bTiCPrYXf8NpvfvObG8GHz4UWFuIElkGl/BwO3r+7IaCwfkqlnEH+6LFjx3Kf%20zJc0f9vyAO7IzmfUZ8MW3OO6BweStGd6t912S5Hpb775pjd58mSli8lw5Q3kBBIbGIhrT0QSbgTM%209jGqJ+iMACivX4VM1BnCAOwmW88fy+8XLFjAH9R49NFHPwNPNA3I8mgcHwTp/bYIQC47HmYqcp7j%20OpiuxnjssceW3X777f/D/YcffvhwhIH1+++/vwYd+10aQELBRuRw3/PPP38s0vGLFQayRRWASrQ+%20YF6N7BCbGwYyTUnmm+rf/n/+859P5+df//rX3scff+ypIORbQLkAnSAH0T2WCxDKeg5yLBP/9Kc/%20TbSdwHnz5tEcKMyWj2BjATQhHIzjcJtPjA/XHlUDCAPoDa9T6kXhhv9gMXbhwoW0RYxTqf4JBUtA%205PCUPBLo2m2X+focgQLxAWL+ep4YTJyFnxsaGuhnMSlE6F0mVlv6ATzOaKHNDOLyzkQBSgKVY87a%20vXBEzqVU8eZ8iJhKdJB2CRgBpZPHZaPowRbTB3DVaqHXh4V67oiOKgTaJ+MY40sA5PlL8xJsI/PT%20mE+YIlDEczTYYG7pN9BJnHfvvfd+lk9NYTIkBGy9/PLLn5syZcoqPHRnaIIqU6yYAGMpWeWoA9h1%203333HQfG0ymk6uEPlQAwj01hKVUBEEPz9eZzYQt5h6LLli0jw3k+4XRXALjPnMt6wL3zySOc126u%207QBrtmOQrnnmmWfeVh1hZ5NBHWS+iS03zp8//2O0tSY3UG5a6phjjhkPp2Sc4n/lsCWRaMUe/WJM%20IdcGaQD+vyBgxx7pYc/loGHmz36WgCBbAJgXGAwsoOamm26aJ0ab1kJ+oTWibdF3hQhAC8KQjtNO%20O+0QPPBgSFh5whCksgwmYJjtINIUfPnll2SARkexBUCd35UCQIa710SCjd3vlTHl6Hc1Co9xS5yf%20dl8a4fDDD5+2xx57jAAPVA/gI7eoKVz4/PPPz4EWkAB0RBWAhGl8SM0FF1xwPx4yXo6dtgR7Nm7c%20qOofZgVZrsSctf8ZxOOlYv+DGGcLM7dhYV02LRAaKXz66adZsQH6A6NGjfK++OILf4ChLoMCwHQx%20ne8x6G95/0oaTdh1112PQ6Rw8vXXX/9GZ6FgqviKH/7wh6cB5h2fDQPgD6Dal+3HH6D6WoLpzSuQ%20H6hFR00pCQEITwa5zHcdvygYQFYBBYbPweQy33/ek08+OR9+1DqM9tqJEyfuB6bT0dM6C9II8hNk%20LmrgnJ+Nr98xvGyPggMIBOoNaZqZCwOgACgWfe+99wgHUyv0wxz3fvBOK1naDCqeAES3wdkydmJ6%20ULVPlJSve4whm7z+rNfuvffew1BnMYz9iMGYBhiUAj7AwWfnB1xAiP1eTx4aHKA1qgmoQOuFZMM2%20Le0iVS8hkF2aO3euX6Sw++678/gQNP04tmJhAO4oDTtH4Wouu5+rHiDoWNjz+Tyqdqn+XGsGDGEx%20CJnKfqYJIBhUX19PbMBGAwXPU5swZE8aAWg2g7o9igDwghTU+st4yIEo8qR614PUuNYPcQB2gh0B%20KEnEzz2NAopRQUwPvc62+7YwhJHLePd+7rmI5VnxG6hdpGnJZEHAsPF+4ccLL7ygzKsGp2oFeXyp%20GchlnU0Hd1xzzTXzZs2add306dMPwg1T6AS/yIC1AHhgAn+gDAKyN+yRoGEJQE+HgTbTIwhA4LlK%20bbueea578ry8439oVwI2YfWECv2k1qVdOcqX4bNqAjIQlAySRh1pELTywoceeuhFjfqoAkCOtZmc%208mbY8vfQvsF+jSTKXFcJ3P80FSdasCVbT6OAfE6AAETzB8TMELJHdyR4mBEUwrW8tAqFRFgAeKuq%20HxZ/1iE8nwsHkuq2VcCdUfmN5J3hYVvUbGDGXNTCm8ABqcVExYGQsio0nl9ObYBc9QGIS4fpB0kA%20ILHqCDGm2GrfPTdSvt9toKAQMBQSpsqX05cHUdXbA0ueP0PAHe67776TkSz6K/q8FfdrY8PnXsBi%20Nr/yyiubyMNOFYWqnBiFIMfAAbnBjQA0H4CMFvYPtUMcm99zCpPjHUemqPa7K+bm2aPfbi4zXSaH%20ZvtssAfMsZy+cGKU8Prrr8u790w6WFp28HnnnXc+czJ2JMDt2Wef/QjC+EsiQcH2TKCf/vSnoydM%20mEDm55wPIPWPknCqpmY4i58atTUGm2qZgH/xtK6Y7gI/dtMxCbUNCGk/0PaT6eifKMzX/IA0/IWl%20uI4O9xhA8tUHHHAAGc0+Z3OxAG4ZIfzb7373u0U/+tGPHrDKwzKhGkBz/hBrni6VLqbbn/VgxPy0%20aXT81iFV2c7vlL9mKxIFedZBJVuuANgYiC0IWa9xBcl2hOnxa7Z0BGJ+IAVktZ1C9eqrr34BQGgS%20J+DstddedmGIRr6azAUHYq+gxFAyFwYAhlbxB8vDd6Fgph5ZnkxnBhlBerUjEaeOxHcMGYVs9SST%20XTXunhNUjp1LACT4OuYyOFeuwN5nH3WK+boe4NpkMpU8QG6GU8c4SYSwO7Ot2YpCOCiJMFYRC5Av%20EMUEpABQLCHowHBF8/5tIu6PQlECEtQGFBTNExDzu0MAwpgpFet+F8z4cAFwr8l79EMrkhkF9YVU%20vJjNmgCG2iy+Yf/zmO1n0G8gwTfYbkVuiUg4wK233roIocYcqJyD8cBBkmp1Do41IzlBhldbtqi7%20BUCMCMvURREAXeMCP3axRdSmkS9MpCBBp++AbKwPCilFvMMOO6QBCDUCgh+kCEihMPiyEY7466gL%20fKJTOIBSwZjd+zi2LErsh1apKiFeN3PmzJFXXXXVLI4ONcs77Q4BiFKCnU0AwkZ8wPGiMF8CYDt6%203FcVdurxxx9fftttt82x5gZ0GOx/o5kfsDXIASQlA0CgJrQNmIo0GGqmL6SrEq2cNQE1oDPOOMNO%20FPFHUvq6QwDEvDDmh2mIfBnsRgNhQuPmDKj2HeYXLADC/O0ld7iG0IHAFRrhiK8jBsCqIPAijRxN%20K2oCGslDGwyKBAQh3kxdcskls4Et7207f3LyiEopSmBCg3XrBDlce1kA5a3m3a0oDxtv2+9sQpS3%20AMj/IMgjh68ryHj/nC1MH4BRAZNzFAiqetZsHMucjJw/YQFQ/w1wFn+C6myiuB1RcAC2sl/+8pdX%20wM67zBfmLxDIF4SlS5d6tEfwTL8CQOSvC1CQAIQzsKsFINu9o5gHxfnsj67WfGT6avCigWX3eMZw%20ONx08MgHNTcEZBsEMz0bAjA1ihOoKWG9MPIPs3EAu5FUC0AQCCaCKGB/ABX9oY5YKl6IBsjmXefj%202UcVAG2D7mufn2ufI94N9bpSANi/dejbOo5sMp9l44DoyQOZBxcHUCZ2l1tuuWVfDOZ5uXyBZI4J%20IdX4MzVcm8bWAPqsCSFaFwDlR5ocomyVmNYVDl4+4E22e0URAp0fKgDudWQ6R77sc3cQw0j5W0Bn%20WSPgm1xUCPG4iwOo0VQTla0jT+ULBGoAe0oY2lrUAwxEuKFRL/LVHNEoAD+Cg9kEQ0b3AcLj8rDz%20omiTIEdOlJXZrhDQBrP+UdhDdxHnY7hRAMwBcQD6AxRCt8BUi3RsRV3gEmEBkSaGYC7aLUgo/BwP%20GOJIvyYhNIL5/dHo/EkACBw5AhCZ8Z3VBtrm60i69wtkvnsNRxdr+XR+dxL7VQIgGB7amRnBZvgC%201RRABwsgGLQBcwcell8XFQdIo7hzKdq12B9gagHKhQPAIemDdQG+B+9TDiG3MhH5hoFBuHoU2DYb%20sztjXkKZr+JQ+DkclT2V6+AAk523cQGO8hRqAh7FHMxG4QDWWgHr0b4JWysgmQsEQtuMdOIQePf7%20EHTgqiBs6IAylCmPB0pYazkg/DFkJrdibHeM+nyAHzdTx2ORwkqX6fpMJI7MVxlXTxEdTJob8IH4%20ggSAWjh1xRVXHIEw/CPwhrzrIBaAz61AY1fAAfyQwhBFADISgj/+8Y8XA+s/xnUCyWyqPjJY8wEB%20OvDHsMlOhavjcMZHdwjdz+Fz/NTC7D4FW/aex3o6s0lnjn3PWgtlArlPSHggVmEbyLDQxQJQyLMP%20VhI5KbQs3MUALr300t3g3dvMd2sB1DhT1fcHnnjiiQWQ0jTO3x+d3j+LKg5KowYlVKLCv0EZw7yF%20T0zWdGsCMPq+GHTPPffM5fb73//+RDjg9ZivybUZqA1yYgHEcTBJ9ORzzz33/rxwAM35w41HK8HD%20G7lND+V8AGqBzz//fC1CkmGQ0ibkvSukItGij/rw40HMLhROVpO3rVCqaIyXFoPJHQbTm0IWdhPg%20efa9qoPCagJ2dsJABwfI8ro3jOKBzOgx3Wvj/ZrpQ3XDV50yHJw6dSqxgPEqB4MmYDawMyO5s0IQ%20ZRHH0BBTWkjhF4RZPkVRi1sOPfTQ3ckPMpYTQ7B4J00vcQGtHqowXIJAU81ooNKE9Vt4q7xwAEw8%20+AATPbZiejKLCeT9qnFU0A7xIaoF4GeZi6Awy7afUZgdBtkGCUC+foTqGch0OnwUcLu6qWhCoNBP%20CSEyW/X/1AQ0BfJXxCsKAWdrQSNHwgEybFiteiUeeAMqUWaiKGQ4vX9QQkzla0wgWYPhgFQ7qWA6%20ShKAqCM/2A8IX6SB2yjMd59HQIUjho0CYINaxV6rmGigFoWy1wxMAxD6ElP4iAVk0Dxu2TBI1/7l%20L395CeH6gnzTwYoA0lQXUOXvo63Bfl+0XlYtQAXeZTPhoosuGuv4BBQE4eE87uL4kRkOCmJa2HSv%20sHtJtUtdkukBL7cqriBQAKQBlIvh4pwo/96ACTxPaW6AtbbjJlMTsIX7eU0N08WaXIClxsbAw+/P%20eQAqBMGDdwBCON2pVVdIqCoabiM4gpF9gfxW6Q5v9O7p5LnVy0UUgvAZQiA5fXwryxRogvVIEK1A%20n/tCQBygEoTFpj90agI68jEB7Xg7Vd0JJ5zwACRsnJsIUtZLAoC4lKEgc9U2ChhVAKIJSDj8m7NA%20k8KpEYXUNUd9rmtzrv1XDGLCiQkg+l8sxhUKC4eb8f6ReCmHu3I4X9e/CotLn4Pyvoa8cAA5gXjB%20wy1wLGzm20KglarZiQwBGW9+CWdjPYSuBh01Vh1fIMAThtVHAZzYbHVPD1/OlZzbbHP8bOZrvxg+%20AAdeM3iyDJFANXCJscy5wPYrJUy+SPvas4UH431N90AAJltQfntWAVA1MFofPGhKrsUh7algzPtD%20/SzCJJJXqV4wVWzEd77znbH6IQWp//Da/chr95PJqmZyp3y7QuvOFnaFoYeJzvVmgEHzuHPxxRd/%20hWLdaQr/0Oz1hBQNCJUdCrh4+nXXXTfXrgkIEoBqwp4QgqwLQ1DV8yFcCpZFCQgVazET9Xv4LgMk%20sBfeciFp7MwI7xTcq3131GqSijx6mC4KrJa2t+cuqtPsY0FzAXtaG/BNLLVYtncWGcx8DON/ml7k%20ajgoyRdXA3BL/4ZRjd4fIF8gpwbgfhVuuBWLE/XOUgsgTJzM5yLQFJRhPMbzaFMxdYmd1LWjPvwe%209jO1r3WMKAAaHa59dxNHbsyv79mKxXz5LJXo8xGaH4CXRpCxHIAE5qjVstUE8Dues0FYQHhJGAiv%20JLsGb5+6QLUAjj0ns1dASEY48wFUGCFJ7DTkCyoogqB9h40UiKM5dq6nL0Zrm+14zn21HiIKr6IA%20qXyVizUiUqsGNpOyBJW8oNBsxNT+R4DrNJAVYUigpoVvxyLFS9CuNrUAVB/l9lvEEF6cxMWKskwI%204YMVBvYYw2Xfqe7gILkjPWCaeiiSmAvBLEZGUAJAYVY2ln1eceKJJz4EgW9WqGdai3l/wEpNDQsL%20AwUC8UbrjLrYrhdEmJaCw3cApowPcWsEhQgqZrXCr+4UADKbsTyl3bHdoat/BWkDl8HFYr77P2TK%20JBCMBGrw3uY9Acw9SX4JEDL2fqPh5WZ+l48AqBhkE5aInQoseRJHvVkaphw2pwKJiMOUJVRySEUg%202kYEgqKkaW01z0anrpA3g7jqPSgMLHpGEIUfeo2sPUOIOMAUvGOwCcc2kfk4Rl62oXhlKV44vQrR%202tZcq4Ym3VoAFBFOwtJkD+MhQ7NNCGGihD+C+4sWLeL6gEIBJZlkfr44QKTsIB0dRBruaC90RnGg%20utfxYhMZzsUl+P/Zz8Bd6IgLDOLqYdOdCSKcScwsYhOqt/kSiTeDfICEVDyygJfCcxwaMCGEW0UD%20tEdLYHe5SHEaAjIOD+4vAegEw9193p/PItMpYFGY4nr8BQhKUUlCSTRwSRrEUjD0yVhMQUuB6WET%20RGqwoATfJLqXfARbEyQdDKAGN58hECjXhBCqXYJACBNXQgtsxLYCmmADEMGMfACdHzG8U0WrMnMc%206RGYEk0Q1LElQBR+mgCfeeiTFryq7wvwYRyqg0MniOD8oVjuZwb8t7mWEGSyCUA1VTwQJt4w66IQ%20HJGcq8b1a/EjhoDpcgg9ZKb4lhBemy+Eq9WveF8y3Y1nu2OmTcm+sOKwww7bDXMx9FoYfwvgjXwg%20b7IWhbA/oZ2prbOCQUn3RdFgwlLYmgmK69mEoWs04v0A3OcKFQR/bCGRD+AyWfdQEzKXJ4Nicl8p%20z4IQ8gUmm1PFaCa5tXkgJJSTR7ZeffXVVmFIwLwAzCO7Ce+dPQ8MrYOzVwd/oNJ6nVkzsn9fwhEZ%20i5GfctYG5CjW4sddPBpjIrOhne03hckx5kBahtC8Foxm6l4oaBpRwGpo8yYsJ/eHrNlAeYWy/2gD%200UagDTUgUDVa0i4GeeCBB46DdzkKgkEUitlAaQBWrvpATPdQTIR/8WZwaV/2O/uf6eF1KP8mk1sd%20R2+rWTRyFdoKtAZTKLLd9QGEAWwx6FGKNzD7FXqHMN4dsD8mgo5SRCA0Suaie2PlmNx3CIkPCAEH%204GWRU1GoM8fE+20WPzeaWULN2bCApAMDp7GwwPAZM2bcgCTPWNl1MZhhHx+ulcOYjWI8KjBItr9b%20KCZqVy0aSbvOWdkSCNZk7AHnfA/wxl0trAER241ICT+WbdXQpA0Cgfn1WBz6Idy0xsUAGPrZkxBY%20lWJKx9fj+zRCtjRq1uu7LayKifaeo70BvgDRwEHvvvsuy8KVKFKBi1sUMghI4e14o/hK1BE854JB%20SXtCCEq9Z0GCxHy76SFML1IYOPI5B+CvSBrxHbUMC4fADtV3mwaIic4e52FQzXdMmzat7qyzzjqB%20L+dAWl6D0y0KkbngKmOn4rqX3UUjpQG47Q3vfqAyfE4xCG/Km2ttAHr688D8BSauTAAMqu3+apnY%20BzD2PP2Pf/xjPUZ/BXhzEvqcA5P8yYYFaI5DPau93DeIJO0ZQWB8K1U7gSAw1Mb0tWwpsX+uUPH+%20hRde+FccWmuSR0mopCHd7gTGoSD7vgkDlf1ehncDbwQAVAGmz6IPBpCIfoKwG9UEsDaCQrDOAEGK%206tpdJLDsrrvuehFTig+DJI2DTbcfrPlpvNF8FI3+wYQWqylRpoqoOR8BoGBRw5QKEWHj6CoW0c8C%205q+aBgI/O+It7Yu5y+7EuwOfx7wALtc7E4JRma14FZNHGgEFP2PVdbjzAX3VUIs2DK0eteaTEQUM%20ANMrcCNSBkxrA8zbgJKv5WS8EYC1+iGwSUdhIaPrKTgAkFjD5nde4RQT7DwhdvYnczD/geXfXrBq%20NerIY/gBQ+EfjOY7HdDKMiBo7zYIxfpnn312EXEANsOzZpkBlYJXkYloO/FmFAaznzLnCCdoNjjB%20GqvQIMnzsXDkz1EldDY9VAIWXUYxUetSAKiJuBjXJXhXEEfzZjN4+5Fvhmc11oqunmZ5WWDQGsO/%20FtsEZAxztaxIh7l5L7QKCYD1FpEmtE1mmzZSSEexRmAQVFYXqfnY5tPp1mLT3Gf9n+GHnLm0ccQ3%20GE2ekgC4PNPIz1YWrhuSWs3Jmg5mv0w6bc5rMZ8T5ruyBMiablWSoJAcp39F0iv4UKU1RoW/Wv/H%208KTJ4lmZg/BuYzPntbkCkNGJVl1guWkCiXROu91sIIkkpluOYylm3f7Vw0CZbQlBq2ktFvMTzsBV%2068g1MSRjtTb3HcLOOSJ9Tqj1CMNjSjhMFmNbrWOJAJ6FTg4VReFmGbJTEzXlqlsoJsK/rL9QfO4M%20Tm2jUbKrzCcApLcBB09k0WKXU0wq+2pBle/fHVVeEBU6XCtMuDgIbRRWF7sNocoYYgHFJL3mpRTJ%20rr9Mg+BQryOz8X+ake2bAxzgVSeeby+mAPCXVpvikeFo9cAA9kKoMoCARKKI9iAD4hZzHBsAj27j%20oVIKSOR4O5M8GtC+MtsN5nhHMQVAINKOBowYpKVlLRCpmNShpo4tMQFot1/jawCdtYb5muxRkGAn%20u0BSVXmyntLqgkhsRR5BGkWZEtQCAuAU5rGfm93K3mJqAGmBCjPiq0wTIJEoYudJA2S0LWEz0GZa%202rS2YjuB7j0UmyazgEjF70B7v7Qo42oz+1iRNED4vYrOfDHc3f4/o5hiiimmmGKKKaaYYooppphi%20iimmmGKKKaaYYooppv8FlJhXILrvZ3wAAAAASUVORK5CYII=%22%20preserveAspectRatio=%22none%22%20height=%2287.169%22%20width=%2287.169%22%20x=%22159.832%22%20y=%22119.548%22/%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22176.338%22%20x=%22204.666%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2214.44%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22176.338%22%20x=%22204.666%22%20font-weight=%22500%22%3E8KB%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22204.581%22%20y=%22121.17%22%20transform=%22scale(.98866%201.01147)%22%20font-weight=%22400%22%20font-size=%2219.302%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#00f%22%20stroke-width=%22.504%22%3E%3Ctspan%20x=%22204.581%22%20y=%22121.17%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3EROM%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" }, "design": { "graph": { "blocks": [ { - "id": "5340dd0d-17b9-42a2-b4d9-fbd56349f8c8", + "id": "0b91cb0d-4144-4939-8755-e331104016db", "type": "basic.input", "data": { "name": "", "clock": true }, "position": { - "x": 304, - "y": 96 - } - }, - { - "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", - "type": "basic.output", - "data": { - "name": "s" - }, - "position": { - "x": 976, - "y": 96 + "x": 120, + "y": 248 } }, { - "id": "997db8c4-b772-49d8-83e7-4427aff720e6", + "id": "c03fd679-daca-495e-9b11-03779b260f54", "type": "basic.output", "data": { - "name": "t" + "name": "", + "range": "[7:0]", + "size": 8 }, "position": { - "x": 968, - "y": 376 + "x": 936, + "y": 336 } }, { - "id": "21bc142d-a93a-430d-b37a-326435def9f9", + "id": "29c933b0-6dd4-4ada-bd69-57faffad57fa", "type": "basic.input", "data": { - "name": "", - "clock": false + "name": "a", + "range": "[12:0]", + "clock": false, + "size": 13 }, "position": { - "x": 304, - "y": 376 + "x": 120, + "y": 416 } }, { - "id": "7c4fa7d1-d70c-445e-b844-73a692fb95a9", - "type": "basic.info", + "id": "d80bfd80-1f6d-46af-b5de-5cd121ebe630", + "type": "basic.memory", "data": { - "info": "**Pulsador-tic**", - "readonly": true + "name": "", + "list": "00\n00\n", + "local": false, + "format": 10 }, "position": { "x": 496, - "y": -56 + "y": 24 }, "size": { - "width": 152, - "height": 40 + "width": 136, + "height": 112 } }, { - "id": "e1c281e9-6a22-456b-863e-20d2550b122c", + "id": "f5619044-1e4b-4218-bfc2-44eced6cb16a", "type": "basic.code", "data": { - "code": "// Sincronizacion. Evitar \n// problema de la metaestabilidad\n\nreg d2;\nreg r_in;\n\nalways @(posedge clk)\n d2 <= d;\n \nalways @(posedge clk)\n r_in <= d2;\n\n\n//-- Debouncer Circuit\n//-- It produces a stable output when the\n//-- input signal is bouncing\n\nreg btn_prev = 0;\nreg btn_out_r = 0;\n\nreg [16:0] counter = 0;\n\n\nalways @(posedge clk) begin\n\n //-- If btn_prev and btn_in are differents\n if (btn_prev ^ r_in == 1'b1) begin\n \n //-- Reset the counter\n counter <= 0;\n \n //-- Capture the button status\n btn_prev <= r_in;\n end\n \n //-- If no timeout, increase the counter\n else if (counter[16] == 1'b0)\n counter <= counter + 1;\n \n else\n //-- Set the output to the stable value\n btn_out_r <= btn_prev;\n\nend\n\n//-- Generar tic en flanco de subida del boton\nreg old;\n\nalways @(posedge clk)\n old <= btn_out_r;\n \nassign tic = !old & btn_out_r;\n\n//-- El estado del pulsador se saca por state\nassign state = btn_out_r;\n\n", - "params": [], + "code": "//-- Anchura del bus de direcciones\nlocalparam ADDR_WIDTH = 13;\nlocalparam DATA_WIDTH = 8;\n\n//-- Tamano de la memoria\nlocalparam TAM = 1 << ADDR_WIDTH;\n\n//-- NO inicializar!\n//-- Si se inicializa a 0 o cualquier otro\n//-- valor no se infiere una RAM\nreg [7:0] data_out_i;\nassign data_out = data_out_i;\n\n//-- Array para la memoria\nreg [DATA_WIDTH-1:0] mem_8 [0:TAM-1];\n\n//-- Puerto de lectura\n//-- Para que se infiera una RAM\n//-- debe ser una lectura sincrona\nalways @(posedge clk)\nbegin\n //-- Puerto de lectura\n data_out_i <= mem_8[addr];\nend\n\n\n//-- Inicializacion de la memoria\ninitial begin\n \n if (ROMF)\n $readmemh(ROMF, mem_8, 0, TAM-1);\n \nend\n\n\n\n", + "params": [ + { + "name": "ROMF" + } + ], "ports": { "in": [ { "name": "clk" }, { - "name": "d" + "name": "addr", + "range": "[12:0]", + "size": 13 } ], "out": [ { - "name": "state" - }, - { - "name": "tic" + "name": "data_out", + "range": "[7:0]", + "size": 8 } ] } }, "position": { - "x": 480, - "y": -16 + "x": 312, + "y": 200 }, "size": { - "width": 432, - "height": 560 + "width": 504, + "height": 328 } } ], "wires": [ { "source": { - "block": "21bc142d-a93a-430d-b37a-326435def9f9", + "block": "0b91cb0d-4144-4939-8755-e331104016db", "port": "out" }, "target": { - "block": "e1c281e9-6a22-456b-863e-20d2550b122c", - "port": "d" + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "clk" } }, { "source": { - "block": "e1c281e9-6a22-456b-863e-20d2550b122c", - "port": "tic" + "block": "d80bfd80-1f6d-46af-b5de-5cd121ebe630", + "port": "memory-out" }, "target": { - "block": "997db8c4-b772-49d8-83e7-4427aff720e6", - "port": "in" + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "ROMF" } }, { "source": { - "block": "5340dd0d-17b9-42a2-b4d9-fbd56349f8c8", + "block": "29c933b0-6dd4-4ada-bd69-57faffad57fa", "port": "out" }, "target": { - "block": "e1c281e9-6a22-456b-863e-20d2550b122c", - "port": "clk" - } + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "addr" + }, + "size": 13 }, { "source": { - "block": "e1c281e9-6a22-456b-863e-20d2550b122c", - "port": "state" + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "data_out" }, "target": { - "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", + "block": "c03fd679-daca-495e-9b11-03779b260f54", "port": "in" - } + }, + "size": 8 } ] }